OpenCores
URL https://opencores.org/ocsvn/loadbalancer/loadbalancer/trunk

Subversion Repositories loadbalancer

[/] [loadbalancer/] [trunk/] [PASS/] [pass.vhd.bak] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 atalla
--------------------------------------------------------
2
 
3
        LIBRARY IEEE;
4
        USE IEEE.STD_LOGIC_1164.ALL;
5
        use IEEE.std_logic_arith.all;
6
-------------------------------
7
        ENTITY pass IS
8
        GENERIC(DATA_WIDTH :INTEGER := 64;
9
                        CTRL_WIDTH :INTEGER := 8);
10
        PORT(
11
        SIGNAL          in_data                         :       IN      STD_LOGIC_VECTOR(63 DOWNTO 0)   ;
12
        SIGNAL          in_ctrl                         :       IN      STD_LOGIC_VECTOR(7 DOWNTO 0)    ;
13
    SIGNAL              in_wr                           :       IN              STD_LOGIC       ;
14
        SIGNAL          in_rdy                          :       OUT     STD_LOGIC       ;
15
 
16
        SIGNAL          out_data                        :       OUT     STD_LOGIC_VECTOR(63 DOWNTO 0)   ;
17
        SIGNAL          out_ctrl                        :       OUT     STD_LOGIC_VECTOR(7 DOWNTO 0)    ;
18
        SIGNAL          out_wr                          :       OUT     STD_LOGIC       ;
19
        SIGNAL          out_rdy                         :       IN              STD_LOGIC       ;
20
 
21
    --- Misc
22
    SIGNAL     en : IN STD_LOGIC;
23
    SIGNAL              reset                           :       IN              STD_LOGIC       ;
24
    SIGNAL              clk                             :       IN              STD_LOGIC
25
        );
26
        END ENTITY;
27
 
28
 ------------------------------------------------------
29
        ARCHITECTURE behavior OF pass IS
30
-------COMPONENET SMALL FIFO
31
                COMPONENT  small_fifo IS
32
        GENERIC(WIDTH :INTEGER := 72;
33
                        MAX_DEPTH_BITS :INTEGER := 3);
34
        PORT(
35
 
36
 
37
     SIGNAL din : IN STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0);--input [WIDTH-1:0] din,     // Data in
38
     SIGNAL wr_en : IN STD_LOGIC;--input          wr_en,   // Write enable
39
 
40
     SIGNAL rd_en : IN STD_LOGIC;--input          rd_en,   // Read the next word
41
 
42
     SIGNAL dout :OUT STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0);--output reg [WIDTH-1:0]  dout,    // Data out
43
     SIGNAL full : OUT STD_LOGIC;--output         full,
44
     SIGNAL nearly_full : OUT STD_LOGIC;--output         nearly_full,
45
     SIGNAL empty : OUT STD_LOGIC;--output         empty,
46
 
47
 
48
    SIGNAL reset :IN STD_LOGIC;
49
    SIGNAL clk   :IN STD_LOGIC
50
 
51
        );
52
        END COMPONENT;
53
-------COMPONENET SMALL FIFO
54
 
55
------------ one hot encoding state definition
56
 
57
        TYPE state_type IS (IDLE, IN_MODULE_HDRS,SKIP_HDRS, IN_PACKET, DUMP_1,DUMP_2,DUMP_3);
58
        ATTRIBUTE enum_encoding: STRING;
59
        ATTRIBUTE enum_encoding of state_type : type is "onehot";
60
 
61
        SIGNAL state, state_NEXT : state_type;
62
 
63
------------end state machine definition
64
 
65
----------------------FIFO
66
          SIGNAL fifo_data : STD_LOGIC_VECTOR(63 DOWNTO 0);
67
          SIGNAL fifo_ctrl : STD_LOGIC_VECTOR(7 DOWNTO 0);
68
          SIGNAL in_fifo_in : STD_LOGIC_VECTOR(71 DOWNTO 0);
69
      SIGNAL in_fifo_rd_en : STD_LOGIC;
70
          SIGNAL in_fifo_rd_en_p : STD_LOGIC;
71
          SIGNAL in_fifo_go : STD_LOGIC;
72
          SIGNAL in_fifo_go_i : STD_LOGIC;
73
      SIGNAL in_fifo_dout  : STD_LOGIC_VECTOR(71 DOWNTO 0);
74
      SIGNAL in_fifo_full : STD_LOGIC;
75
      SIGNAL in_fifo_nearly_full : STD_LOGIC;
76
      SIGNAL in_fifo_empty : STD_LOGIC;
77
                 SIGNAL wr_en : STD_LOGIC;
78
          SIGNAL                out_data_i                      :               STD_LOGIC_VECTOR(63 DOWNTO 0)   ;
79
          SIGNAL                out_ctrl_i                      :               STD_LOGIC_VECTOR(7 DOWNTO 0)    ;
80
          SIGNAL                out_wr_i                        :               STD_LOGIC       ;
81
---------------------------------------------------
82
        BEGIN
83
 
84
        ------PORT MAP open_header
85
 
86
                -------PORT MAP SMALL FIFO DATA
87
                small_fifo_Inst :  small_fifo
88
        GENERIC MAP(WIDTH  => 72,
89
                        MAX_DEPTH_BITS  => 5)
90
        PORT MAP(
91
 
92
 
93
      din =>(in_fifo_in),
94
      wr_en =>wr_en,
95
 
96
      rd_en => in_fifo_rd_en,
97
 
98
      dout =>in_fifo_dout,
99
      full =>in_fifo_full,
100
      nearly_full =>in_fifo_nearly_full,
101
      empty => in_fifo_empty,
102
 
103
 
104
     reset => reset ,
105
     clk  => clk
106
 
107
        );
108
 
109
 
110
-------PORT MAP SMALL FIFO
111
 
112
 
113
 
114
-----------------------
115
      in_fifo_in <=     in_data & in_ctrl ;
116
                wr_en <= en and in_wr;
117
                fifo_data       <=           in_fifo_dout(71 DOWNTO 8)    ;
118
                fifo_ctrl       <=         in_fifo_dout(7 DOWNTO 0)        ;
119
                in_fifo_rd_en <=  out_rdy and(not in_fifo_empty) ;
120
 
121
 
122
 
123
 
124
                  in_rdy        <=        (NOT in_fifo_nearly_full) ;--or (not en)        ;
125
 
126
 
127
 
128
 
129
 
130
PROCESS(clk,reset)
131
BEGIN
132
        IF (reset ='1') THEN
133
                out_wr_i <='0';
134
                ELSIF clk'EVENT AND clk ='1' THEN
135
                state<=state_next;
136
                out_wr_i <= in_fifo_rd_en;
137
        END IF;
138
END PROCESS;
139
                                                                        out_data_i                              <=        fifo_data;
140
                                                                        out_ctrl_i                              <=        fifo_ctrl;
141
 
142
---------------Register output
143
--              PROCESS(clk,reset)
144
--              BEGIN
145
--
146
--                      IF clk'EVENT AND clk ='1' THEN
147
                                                                        out_data                                <=        out_data_i;
148
                                                                        out_ctrl                                <=        out_ctrl_i;
149
                                                                        out_wr                              <=  out_wr_i;
150
--
151
--                      END IF;
152
--              END PROCESS;
153
END behavior;
154
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.