OpenCores
URL https://opencores.org/ocsvn/logicprobe/logicprobe/trunk

Subversion Repositories logicprobe

[/] [logicprobe/] [trunk/] [tst/] [boards/] [XESS-XST-3S1000/] [lfsr128.ucf] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 hellwig
NET "clk"  LOC = "p8"  ;
2
NET "reset_in_n"  LOC = "e11"  ;
3
NET "rs232_txd"  LOC = "f4"  ;
4
NET "s<0>"  LOC = "m6"  ;
5
NET "s<1>"  LOC = "m11"  ;
6
NET "s<2>"  LOC = "n6"  ;
7
NET "s<3>"  LOC = "r7"  ;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.