OpenCores
URL https://opencores.org/ocsvn/logicprobe/logicprobe/trunk

Subversion Repositories logicprobe

[/] [logicprobe/] [trunk/] [tst/] [sim-c/] [Makefile] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 hellwig
#
2
# Makefile to build a simulation of the test circuit
3
#
4
 
5
all:            ref
6
 
7
ref:            lfsr128
8
                ./lfsr128 >ref
9
 
10
lfsr128:        lfsr128.c
11
                gcc -Wall -o lfsr128 lfsr128.c
12
 
13
clean:
14
                rm -f *~ lfsr128 ref

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.