OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [sim/] [rtl_sim/] [out/] [rtl_impulseResponse.txt] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vladimirar
        SystemC 2.3.2-Accellera --- Apr 28 2018 13:46:26
2
        Copyright (c) 1996-2017 by all Contributors,
3
        ALL RIGHTS RESERVED
4
Test Case #1:
5
Check impulse response of low-pass filter.
6
RTL simulation results:
7
Input Output
8
----- ------
9
 
10
  1     1
11
 
12
 
13
 
14
 
15
 
16
 
17
 
18
 
19
 
20
 
21
 
22
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.