OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [lpffir_uvm/] [data_output.tpl] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 vladimirar
agent_name = data_output
2
 
3
number_of_instances = 1
4
 
5
trans_item = output_tx
6
trans_var  = rand logic [15:0] data;
7
 
8
agent_coverage_enable = no
9
 
10
driver_inc_inside_class = data_output_driver_inc_inside_class.sv  inline
11
driver_inc_after_class  = data_output_driver_inc_after_class.sv   inline
12
monitor_inc = data_output_do_mon.sv inline
13
 
14
if_port  = logic last;
15
if_port  = logic valid;
16
if_port  = logic ready;
17
if_port  = logic [15:0] data;
18
if_port  = logic clk;
19
if_port  = logic reset;
20
if_clock = clk
21
if_reset = reset

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.