OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [lpffir_uvm/] [generated_tb/] [dut/] [design.sv] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 vladimirar
module lpffir_axis (
2
                    input               aclk_i,
3
                    input               aresetn_i,
4
                    // AXI-Stream RX interface
5
                    input               rx_tlast_i,
6
                    input               rx_tvalid_i,
7
                    output logic        rx_tready_o,
8
                    input [15:0]        rx_tdata_i,
9
                    // AXI-Stream TX interface
10
                    output logic        tx_tlast_o,
11
                    output reg          tx_tvalid_o,
12
                    input               tx_tready_i,
13
                    output logic [15:0] tx_tdata_o
14
                    );
15
 
16
   wire lpffir_en;
17
   assign                                lpffir_en = rx_tvalid_i && tx_tready_i;
18
 
19
   // AXI-Stream interface
20
   assign rx_tready_o = lpffir_en;
21
   assign tx_tvalid_o = lpffir_en;
22
   assign tx_tlast_o  = rx_tlast_i;
23
 
24
  // DEBUG
25
  always @(posedge aclk_i or negedge aresetn_i)
26
    if (aresetn_i)
27
      $display("DUT: rx_tdata_i %0d, tx_tdata_o %0d", rx_tdata_i, tx_tdata_o);
28
 
29
   // LPFFIR
30
   lpffir_core lpffir_core(
31
                           .clk_i(aclk_i),
32
                           .rstn_i(aresetn_i),
33
                           .en_i(lpffir_en),
34
                           .x_i(rx_tdata_i),
35
                           .y_o(tx_tdata_o)
36
                           );
37
 
38
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.