OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [lpffir_uvm/] [generated_tb/] [sim.log] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 vladimirar
[2019-04-27 10:02:44 EDT] EU_INC_PATH=`perl /playground_lib/easier_uvm_gen/easier_uvm_gen.pl -x inc_path`  ; EU_DUT_SOURCE_PATH=`perl /playground_lib/easier_uvm_gen/easier_uvm_gen.pl -x dut_source_path` ; EU_PROJECT=`perl /playground_lib/easier_uvm_gen/easier_uvm_gen.pl -x project`  ; EU_REGMODEL_FILE=`perl /playground_lib/easier_uvm_gen/easier_uvm_gen.pl -x regmodel_file` ; mkdir $EU_INC_PATH ; mv data_input_cover_inc.sv data_input_do_mon.sv data_input_driver_inc_after_class.sv data_input_driver_inc_inside_class.sv data_output_do_mon.sv reference_inc_after_class.sv reference_inc_inside_class.sv vcd_dump.sv data_output_driver_inc_inside_class.sv data_output_driver_inc_after_class.sv  $EU_INC_PATH ; mkdir $EU_DUT_SOURCE_PATH ; mv design.sv lpffir_core.sv rca.sv fa.sv files.f  $EU_DUT_SOURCE_PATH ; if [ -f $EU_INC_PATH/$EU_REGMODEL_FILE ]; then cp $EU_INC_PATH/$EU_REGMODEL_FILE . ; fi; perl /playground_lib/easier_uvm_gen/easier_uvm_gen.pl -s ../../playground_lib/uvm_syoscb/src -c  data_input.tpl data_output.tpl ; cd $EU_PROJECT  && cd sim ; chmod 755 compile_vcs.sh ; source ./compile_vcs.sh ; cd /home/runner
2
Easier UVM Code Generator version 2016-04-18-EP
3
Copying dut files to            generated_tb/dut
4
Copying include files to        generated_tb/tb/include
5
Generating testbench in         generated_tb/tb
6
Generating simulator scripts in generated_tb/sim
7
Generated hierarchy of envs and agents:
8
  m_data_input_agent
9
  m_data_output_agent
10
 
11
Warning-[LNX_OS_VERUN] Unsupported Linux version
12
  Linux version 'CentOS Linux release 7.1.1503 (Core) ' is not supported on
13
  'x86_64' officially, assuming linux compatibility by default. Set
14
  VCS_ARCH_OVERRIDE to linux or suse32 to override.
15
  Please refer to release notes for information on supported platforms.
16
 
17
 
18
Warning-[LINX_KRNL] Unsupported Linux kernel
19
  Linux kernel '3.13.0-71-generic' is not supported.
20
  Supported versions are 2.4* or 2.6*.
21
 
22
                         Chronologic VCS (TM)
23
         Version J-2014.12-SP1-1 -- Sat Apr 27 14:02:46 2019
24
               Copyright (c) 1991-2014 by Synopsys Inc.
25
                         ALL RIGHTS RESERVED
26
 
27
This program is proprietary and confidential information of Synopsys Inc.
28
and may be used and disclosed only as authorized in a license agreement
29
controlling such use and disclosure.
30
 
31
Warning : License for product VCSCompiler_Net(723) will expire within 4 days, on: 30-apr-2019.
32
 
33
If you would like to temporarily disable this message, set
34
 the VCS_LIC_EXPIRE_WARNING environment variable to the number of days
35
before expiration that you want this message to start (the minimum is 0).
36
Parsing design file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'
37
Parsing included file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
38
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_version_defines.svh'.
39
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
40
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_global_defines.svh'.
41
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
42
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_message_defines.svh'.
43
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
44
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_phase_defines.svh'.
45
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
46
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_object_defines.svh'.
47
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
48
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_printer_defines.svh'.
49
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
50
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_tlm_defines.svh'.
51
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'.
52
Back to file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_tlm_defines.svh'.
53
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
54
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_sequence_defines.svh'.
55
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
56
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_callback_defines.svh'.
57
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
58
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_reg_defines.svh'.
59
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
60
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_deprecated_defines.svh'.
61
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
62
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'.
63
Parsing included file '/apps/vcsmx/etc/uvm-1.2/dpi/uvm_dpi.svh'.
64
Parsing included file '/apps/vcsmx/etc/uvm-1.2/dpi/uvm_hdl.svh'.
65
Back to file '/apps/vcsmx/etc/uvm-1.2/dpi/uvm_dpi.svh'.
66
Parsing included file '/apps/vcsmx/etc/uvm-1.2/dpi/uvm_svcmd_dpi.svh'.
67
Back to file '/apps/vcsmx/etc/uvm-1.2/dpi/uvm_dpi.svh'.
68
Parsing included file '/apps/vcsmx/etc/uvm-1.2/dpi/uvm_regex.svh'.
69
Back to file '/apps/vcsmx/etc/uvm-1.2/dpi/uvm_dpi.svh'.
70
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'.
71
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
72
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_coreservice.svh'.
73
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
74
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_version.svh'.
75
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
76
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_object_globals.svh'.
77
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
78
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_misc.svh'.
79
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
80
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_object.svh'.
81
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
82
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_pool.svh'.
83
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
84
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_queue.svh'.
85
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
86
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_factory.svh'.
87
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
88
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_registry.svh'.
89
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
90
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_spell_chkr.svh'.
91
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
92
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_resource.svh'.
93
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
94
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_resource_specializations.svh'.
95
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
96
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_resource_db.svh'.
97
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
98
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_config_db.svh'.
99
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
100
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_printer.svh'.
101
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
102
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_comparer.svh'.
103
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
104
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_packer.svh'.
105
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
106
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_links.svh'.
107
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
108
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_tr_database.svh'.
109
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
110
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_tr_stream.svh'.
111
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
112
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_recorder.svh'.
113
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
114
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_event_callback.svh'.
115
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
116
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_event.svh'.
117
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
118
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_barrier.svh'.
119
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
120
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_callback.svh'.
121
Parsing included file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
122
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_callback.svh'.
123
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
124
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_report_message.svh'.
125
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
126
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_report_catcher.svh'.
127
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
128
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_report_server.svh'.
129
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
130
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_report_handler.svh'.
131
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
132
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_report_object.svh'.
133
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
134
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_transaction.svh'.
135
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
136
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_phase.svh'.
137
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
138
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_domain.svh'.
139
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
140
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_bottomup_phase.svh'.
141
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
142
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_topdown_phase.svh'.
143
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
144
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_task_phase.svh'.
145
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
146
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_common_phases.svh'.
147
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
148
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_runtime_phases.svh'.
149
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
150
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_component.svh'.
151
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_root.svh'.
152
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_component.svh'.
153
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
154
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_objection.svh'.
155
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
156
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_heartbeat.svh'.
157
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
158
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_globals.svh'.
159
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
160
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_cmdline_processor.svh'.
161
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
162
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_traversal.svh'.
163
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
164
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'.
165
Parsing included file '/apps/vcsmx/etc/uvm-1.2/dap/uvm_dap.svh'.
166
Parsing included file '/apps/vcsmx/etc/uvm-1.2/dap/uvm_set_get_dap_base.svh'.
167
Back to file '/apps/vcsmx/etc/uvm-1.2/dap/uvm_dap.svh'.
168
Parsing included file '/apps/vcsmx/etc/uvm-1.2/dap/uvm_simple_lock_dap.svh'.
169
Back to file '/apps/vcsmx/etc/uvm-1.2/dap/uvm_dap.svh'.
170
Parsing included file '/apps/vcsmx/etc/uvm-1.2/dap/uvm_get_to_lock_dap.svh'.
171
Back to file '/apps/vcsmx/etc/uvm-1.2/dap/uvm_dap.svh'.
172
Parsing included file '/apps/vcsmx/etc/uvm-1.2/dap/uvm_set_before_get_dap.svh'.
173
Back to file '/apps/vcsmx/etc/uvm-1.2/dap/uvm_dap.svh'.
174
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'.
175
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
176
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm_ifs.svh'.
177
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
178
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_sqr_ifs.svh'.
179
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
180
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_port_base.svh'.
181
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
182
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'.
183
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
184
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_imps.svh'.
185
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
186
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_ports.svh'.
187
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
188
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_exports.svh'.
189
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
190
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_analysis_port.svh'.
191
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
192
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm_fifo_base.svh'.
193
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
194
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm_fifos.svh'.
195
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
196
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm_req_rsp.svh'.
197
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
198
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_sqr_connections.svh'.
199
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
200
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'.
201
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
202
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_pair.svh'.
203
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
204
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_policies.svh'.
205
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
206
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_in_order_comparator.svh'.
207
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
208
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_algorithmic_comparator.svh'.
209
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
210
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_random_stimulus.svh'.
211
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
212
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_subscriber.svh'.
213
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
214
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_monitor.svh'.
215
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
216
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_driver.svh'.
217
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
218
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_push_driver.svh'.
219
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
220
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_scoreboard.svh'.
221
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
222
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_agent.svh'.
223
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
224
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_env.svh'.
225
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
226
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_test.svh'.
227
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
228
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'.
229
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
230
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_sequence_item.svh'.
231
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
232
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_sequencer_base.svh'.
233
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
234
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_sequencer_analysis_fifo.svh'.
235
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
236
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_sequencer_param_base.svh'.
237
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
238
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_sequencer.svh'.
239
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
240
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_push_sequencer.svh'.
241
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
242
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_sequence_base.svh'.
243
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
244
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_sequence.svh'.
245
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
246
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_sequence_library.svh'.
247
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
248
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_sequence_builtin.svh'.
249
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
250
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'.
251
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
252
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2_defines.svh'.
253
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
254
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2_time.svh'.
255
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
256
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2_generic_payload.svh'.
257
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
258
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2_ifs.svh'.
259
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
260
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2_imps.svh'.
261
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
262
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2_ports.svh'.
263
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
264
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2_exports.svh'.
265
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
266
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2_sockets_base.svh'.
267
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
268
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2_sockets.svh'.
269
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
270
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'.
271
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
272
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_item.svh'.
273
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
274
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_adapter.svh'.
275
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
276
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_predictor.svh'.
277
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
278
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_sequence.svh'.
279
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
280
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_cbs.svh'.
281
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
282
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_backdoor.svh'.
283
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
284
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_field.svh'.
285
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
286
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_vreg_field.svh'.
287
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
288
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg.svh'.
289
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
290
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_indirect.svh'.
291
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
292
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_fifo.svh'.
293
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
294
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_file.svh'.
295
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
296
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_mem_mam.svh'.
297
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
298
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_vreg.svh'.
299
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
300
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_mem.svh'.
301
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
302
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_map.svh'.
303
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
304
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_block.svh'.
305
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
306
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/sequences/uvm_reg_hw_reset_seq.svh'.
307
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
308
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/sequences/uvm_reg_bit_bash_seq.svh'.
309
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
310
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/sequences/uvm_mem_walk_seq.svh'.
311
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
312
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/sequences/uvm_mem_access_seq.svh'.
313
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
314
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/sequences/uvm_reg_access_seq.svh'.
315
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
316
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/sequences/uvm_reg_mem_shared_access_seq.svh'.
317
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
318
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/sequences/uvm_reg_mem_built_in_seq.svh'.
319
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
320
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh'.
321
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
322
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/snps_uvm_reg_bank.svh'.
323
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
324
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'.
325
Parsing design file '../dut/fa.sv'
326
Parsing design file '../dut/rca.sv'
327
Parsing design file '../dut/lpffir_core.sv'
328
Parsing design file '../dut/design.sv'
329
Parsing design file '../tb/data_input/sv/data_input_pkg.sv'
330
Parsing included file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
331
Back to file '../tb/data_input/sv/data_input_pkg.sv'.
332
Parsing included file '../tb/data_input/sv/data_input_input_tx.sv'.
333
Back to file '../tb/data_input/sv/data_input_pkg.sv'.
334
Parsing included file '../tb/data_input/sv/data_input_config.sv'.
335
Back to file '../tb/data_input/sv/data_input_pkg.sv'.
336
Parsing included file '../tb/data_input/sv/data_input_driver.sv'.
337
Back to file '../tb/data_input/sv/data_input_pkg.sv'.
338
Parsing included file '../tb/data_input/sv/data_input_monitor.sv'.
339
Back to file '../tb/data_input/sv/data_input_pkg.sv'.
340
Parsing included file '../tb/data_input/sv/data_input_sequencer.sv'.
341
Back to file '../tb/data_input/sv/data_input_pkg.sv'.
342
Parsing included file '../tb/data_input/sv/data_input_coverage.sv'.
343
Back to file '../tb/data_input/sv/data_input_pkg.sv'.
344
Parsing included file '../tb/data_input/sv/data_input_agent.sv'.
345
Back to file '../tb/data_input/sv/data_input_pkg.sv'.
346
Parsing included file '../tb/data_input/sv/data_input_seq_lib.sv'.
347
Back to file '../tb/data_input/sv/data_input_pkg.sv'.
348
Parsing design file '../tb/data_input/sv/data_input_if.sv'
349
Parsing design file '../tb/data_output/sv/data_output_pkg.sv'
350
Parsing included file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
351
Back to file '../tb/data_output/sv/data_output_pkg.sv'.
352
Parsing included file '../tb/data_output/sv/data_output_output_tx.sv'.
353
Back to file '../tb/data_output/sv/data_output_pkg.sv'.
354
Parsing included file '../tb/data_output/sv/data_output_config.sv'.
355
Back to file '../tb/data_output/sv/data_output_pkg.sv'.
356
Parsing included file '../tb/data_output/sv/data_output_driver.sv'.
357
Back to file '../tb/data_output/sv/data_output_pkg.sv'.
358
Parsing included file '../tb/data_output/sv/data_output_monitor.sv'.
359
Back to file '../tb/data_output/sv/data_output_pkg.sv'.
360
Parsing included file '../tb/data_output/sv/data_output_sequencer.sv'.
361
Back to file '../tb/data_output/sv/data_output_pkg.sv'.
362
Parsing included file '../tb/data_output/sv/data_output_coverage.sv'.
363
Back to file '../tb/data_output/sv/data_output_pkg.sv'.
364
Parsing included file '../tb/data_output/sv/data_output_agent.sv'.
365
Back to file '../tb/data_output/sv/data_output_pkg.sv'.
366
Parsing included file '../tb/data_output/sv/data_output_seq_lib.sv'.
367
Back to file '../tb/data_output/sv/data_output_pkg.sv'.
368
Parsing design file '../tb/data_output/sv/data_output_if.sv'
369
Parsing design file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'
370
Parsing included file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
371
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
372
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_cfg_pl.svh'.
373
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
374
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_cfg.svh'.
375
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
376
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_item.svh'.
377
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
378
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_queue_iterator_base.svh'.
379
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
380
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_queue_iterator_std.svh'.
381
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
382
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_queue.svh'.
383
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
384
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_queue_std.svh'.
385
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
386
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_compare_base.svh'.
387
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
388
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_compare.svh'.
389
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
390
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_compare_ooo.svh'.
391
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
392
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_compare_io.svh'.
393
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
394
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_compare_iop.svh'.
395
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
396
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_report_catcher.svh'.
397
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
398
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_subscriber.svh'.
399
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
400
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb.svh'.
401
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
402
Parsing design file '../tb/top/sv/top_pkg.sv'
403
Parsing included file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
404
Back to file '../tb/top/sv/top_pkg.sv'.
405
Parsing included file '../tb/top/sv/top_config.sv'.
406
Back to file '../tb/top/sv/top_pkg.sv'.
407
Parsing included file '../tb/top/sv/top_seq_lib.sv'.
408
Back to file '../tb/top/sv/top_pkg.sv'.
409
Parsing included file '../tb/top/sv/port_converter.sv'.
410
Back to file '../tb/top/sv/top_pkg.sv'.
411
Parsing included file '../tb/top/sv/reference.sv'.
412
Back to file '../tb/top/sv/top_pkg.sv'.
413
Parsing included file '../tb/top/sv/top_env.sv'.
414
Back to file '../tb/top/sv/top_pkg.sv'.
415
Parsing design file '../tb/top_test/sv/top_test_pkg.sv'
416
Parsing included file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
417
Back to file '../tb/top_test/sv/top_test_pkg.sv'.
418
Parsing included file '../tb/top_test/sv/top_test.sv'.
419
Back to file '../tb/top_test/sv/top_test_pkg.sv'.
420
Parsing design file '../tb/top_tb/sv/top_th.sv'
421
Parsing design file '../tb/top_tb/sv/top_tb.sv'
422
Parsing included file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
423
Back to file '../tb/top_tb/sv/top_tb.sv'.
424
Top Level Modules:
425
       top_tb
426
TimeScale is 1 ns / 1 ps
427
Warning : License for product VT_Testbench(772) will expire within 4 days, on: 30-apr-2019.
428
 
429
If you would like to temporarily disable this message, set
430
 the VCS_LIC_EXPIRE_WARNING environment variable to the number of days
431
before expiration that you want this message to start (the minimum is 0).
432
Starting vcs inline pass...
433
15 modules and 0 UDP read.
434
recompiling package vcs_paramclassrepository
435
recompiling package _vcs_DPI_package
436
recompiling package uvm_pkg
437
recompiling module fa
438
recompiling module rca
439
recompiling module lpffir_axis
440
recompiling package data_input_pkg
441
recompiling module data_input_if
442
recompiling package data_output_pkg
443
recompiling module data_output_if
444
recompiling package pk_syoscb
445
recompiling package top_pkg
446
recompiling package top_test_pkg
447
recompiling module top_tb
448
All of 15 modules done
449
Warning : License for product VCSCompiler_Net(723) will expire within 4 days, on: 30-apr-2019.
450
 
451
If you would like to temporarily disable this message, set
452
 the VCS_LIC_EXPIRE_WARNING environment variable to the number of days
453
before expiration that you want this message to start (the minimum is 0).
454
rm -f _csrc*.so linux_scvhdl_*.so pre_vcsobj_*.so share_vcsobj_*.so
455
g++ -w  -pipe -m32 -DVCSMX -O -I/apps/vcsmx/include    -c /apps/vcsmx/etc/uvm-1.2/dpi/uvm_dpi.cc
456
ld -m elf_i386 -shared  -o .//../simv.daidir//_csrc0.so amcQwB.o
457
rm -f _csrc0.so
458
if [ -x ../simv ]; then chmod -x ../simv; fi
459
g++  -o ../simv  -m32 -m32   -Wl,-rpath-link=./ -Wl,-rpath='$ORIGIN'/simv.daidir/ -Wl,-rpath='$ORIGIN'/simv.daidir//scsim.db.dir  /apps/vcsmx/linux/lib/vpdlogstub.o uvm_dpi.o    _729_archive_1.so _csrc0.so  SIM_l.o  _csrc0.so    rmapats_mop.o rmapats.o rmar.o  rmar_llvm_0_1.o rmar_llvm_0_0.o          /apps/vcsmx/linux/lib/libzerosoft_rt_stubs.so /apps/vcsmx/linux/lib/libvirsim.so /apps/vcsmx/linux/lib/liberrorinf.so /apps/vcsmx/linux/lib/libsnpsmalloc.so    /apps/vcsmx/linux/lib/libvcsnew.so /apps/vcsmx/linux/lib/libuclinative.so   -Wl,-whole-archive /apps/vcsmx/linux/lib/libvcsucli.so -Wl,-no-whole-archive       ./../simv.daidir/vc_hdrs.o    /apps/vcsmx/linux/lib/vcs_save_restore_new.o /apps/vcsmx/linux/lib/ctype-stubs_32.a -ldl  -lc -lm -lpthread -ldl
460
../simv up to date
461
Warning : License for product VCSRuntime_Net(725) will expire within 4 days, on: 30-apr-2019.
462
 
463
If you would like to temporarily disable this message, set
464
 the VCS_LIC_EXPIRE_WARNING environment variable to the number of days
465
before expiration that you want this message to start (the minimum is 0).
466
Chronologic VCS simulator copyright 1991-2014
467
Contains Synopsys proprietary information.
468
Compiler version J-2014.12-SP1-1; Runtime version J-2014.12-SP1-1;  Apr 27 14:03 2019
469
Warning : License for product VT_TestbenchRuntime(802) will expire within 4 days, on: 30-apr-2019.
470
 
471
If you would like to temporarily disable this message, set
472
 the VCS_LIC_EXPIRE_WARNING environment variable to the number of days
473
before expiration that you want this message to start (the minimum is 0).
474
UVM_INFO /apps/vcsmx/etc/uvm-1.2/base/uvm_root.svh(402) @ 0: reporter [UVM/RELNOTES]
475
----------------------------------------------------------------
476
UVM-1.2.Synopsys
477
(C) 2007-2014 Mentor Graphics Corporation
478
(C) 2007-2014 Cadence Design Systems, Inc.
479
(C) 2006-2014 Synopsys, Inc.
480
(C) 2011-2013 Cypress Semiconductor Corp.
481
(C) 2013-2014 NVIDIA Corporation
482
----------------------------------------------------------------
483
 
484
  ***********       IMPORTANT RELEASE NOTES         ************
485
 
486
  You are using a version of the UVM library that has been compiled
487
  with `UVM_NO_DEPRECATED undefined.
488
  See http://www.eda.org/svdb/view.php?id=3313 for more details.
489
 
490
  You are using a version of the UVM library that has been compiled
491
  with `UVM_OBJECT_DO_NOT_NEED_CONSTRUCTOR undefined.
492
  See http://www.eda.org/svdb/view.php?id=3770 for more details.
493
 
494
      (Specify +UVM_NO_RELNOTES to turn off this notice)
495
 
496
UVM_INFO @ 0: reporter [RNTST] Running test top_test...
497
UVM_INFO ../tb/top/sv/top_env.sv(69) @ 0: uvm_test_top.m_env [top_env] In build_phase
498
 
499
Note-[FCICIO] Instance coverage is ON
500
/home/runner/generated_tb/sim/../tb/data_input/sv/data_input_coverage.sv, 30
501
data_input_pkg, "data_input_pkg::data_input_coverage::m_cov"
502
  Instance coverage is set (option.per_instance = 1) for covergroup
503
  'data_input_pkg::data_input_coverage::m_cov'
504
 
505
  Covergroup Instance: top_tb.me.obj.m_cov
506
  Design hierarchy: data_input_pkg
507
 
508
 
509
Note-[FCICIO] Instance coverage is ON
510
/home/runner/generated_tb/sim/../tb/data_output/sv/data_output_coverage.sv, 32
511
data_output_pkg, "data_output_pkg::data_output_coverage::m_cov"
512
  Instance coverage is set (option.per_instance = 1) for covergroup
513
  'data_output_pkg::data_output_coverage::m_cov'
514
 
515
  Covergroup Instance: top_tb.me.obj.m_cov
516
  Design hierarchy: data_output_pkg
517
 
518
UVM_INFO ../tb/top/sv/top_env.sv(133) @ 0: uvm_test_top.m_env [top_env] In connect_phase
519
UVM_INFO ../tb/top/sv/top_env.sv(162) @ 0: uvm_test_top.m_env [top_env] Information printed from top_env::end_of_elaboration_phase method
520
UVM_INFO ../tb/top/sv/top_env.sv(163) @ 0: uvm_test_top.m_env [top_env] Verbosity threshold is         300
521
UVM_INFO /apps/vcsmx/etc/uvm-1.2/base/uvm_root.svh(589) @ 0: reporter [UVMTOP] UVM testbench topology:
522
------------------------------------------------------------------------------------------------
523
Name                                  Type                          Size  Value
524
------------------------------------------------------------------------------------------------
525
uvm_test_top                          top_test                      -     @347
526
  m_env                               top_env                       -     @360
527
    m_converter_m_data_output_agent   uvm_component                 -     @417
528
      a_port                          uvm_analysis_port             -     @436
529
      analysis_imp                    uvm_analysis_imp              -     @426
530
    m_data_input_agent                data_input_agent              -     @455
531
      analysis_port                   uvm_analysis_port             -     @464
532
      m_driver                        data_input_driver             -     @552
533
        rsp_port                      uvm_analysis_port             -     @571
534
        seq_item_port                 uvm_seq_item_pull_port        -     @561
535
      m_monitor                       data_input_monitor            -     @532
536
        analysis_port                 uvm_analysis_port             -     @541
537
      m_sequencer                     uvm_sequencer                 -     @581
538
        rsp_export                    uvm_analysis_export           -     @590
539
        seq_item_export               uvm_seq_item_pull_imp         -     @708
540
        arbitration_queue             array                         0     -
541
        lock_queue                    array                         0     -
542
        num_last_reqs                 integral                      32    'd1
543
        num_last_rsps                 integral                      32    'd1
544
    m_data_input_coverage             data_input_coverage           -     @474
545
      analysis_imp                    uvm_analysis_imp              -     @483
546
    m_data_output_agent               data_output_agent             -     @493
547
      analysis_port                   uvm_analysis_port             -     @502
548
      m_driver                        data_output_driver            -     @745
549
        rsp_port                      uvm_analysis_port             -     @764
550
        seq_item_port                 uvm_seq_item_pull_port        -     @754
551
      m_monitor                       data_output_monitor           -     @725
552
        analysis_port                 uvm_analysis_port             -     @734
553
      m_sequencer                     uvm_sequencer                 -     @774
554
        rsp_export                    uvm_analysis_export           -     @783
555
        seq_item_export               uvm_seq_item_pull_imp         -     @901
556
        arbitration_queue             array                         0     -
557
        lock_queue                    array                         0     -
558
        num_last_reqs                 integral                      32    'd1
559
        num_last_rsps                 integral                      32    'd1
560
    m_data_output_coverage            data_output_coverage          -     @512
561
      analysis_imp                    uvm_analysis_imp              -     @521
562
    m_reference                       reference                     -     @388
563
      analysis_export_0               uvm_analysis_imp_reference_0  -     @397
564
      analysis_port_0                 uvm_analysis_port             -     @407
565
    m_reference_scoreboard            cl_syoscb                     -     @446
566
      DUT                             cl_syoscb_queue_std           -     @918
567
        cfg                           cl_syoscb_cfg                 -     @382
568
          queues                      aa(object,string)             2     -
569
            [DUT]                     cl_syoscb_queue_std           -     @918
570
            [REF]                     cl_syoscb_queue_std           -     @929
571
              cfg                     cl_syoscb_cfg                 -     @382
572
              iter_idx                integral                      32    'h0
573
              cnt_add_item            integral                      32    'h0
574
              items                   da(object)                    0     -
575
          producers                   aa(object,string)             1     -
576
            [m_data_output_agent]     cl_syoscb_cfg_pl              -     @383
577
              list                    da(string)                    2     -
578
                [0]                   string                        3     DUT
579
                [1]                   string                        3     REF
580
          primary_queue               string                        3     DUT
581
          disable_clone               integral                      1     'h0
582
          max_queue_size              aa(int,string)                2     -
583
            [DUT]                     integral                      32    'h0
584
            [REF]                     integral                      32    'h0
585
          scb_name                    string                        22    m_reference_scoreboard
586
        iter_idx                      integral                      32    'h0
587
        cnt_add_item                  integral                      32    'h0
588
        items                         da(object)                    0     -
589
      REF                             cl_syoscb_queue_std           -     @929
590
        cfg                           cl_syoscb_cfg                 -     @382
591
          queues                      aa(object,string)             2     -
592
            [DUT]                     cl_syoscb_queue_std           -     @918
593
              cfg                     cl_syoscb_cfg                 -     @382
594
              iter_idx                integral                      32    'h0
595
              cnt_add_item            integral                      32    'h0
596
              items                   da(object)                    0     -
597
            [REF]                     cl_syoscb_queue_std           -     @929
598
          producers                   aa(object,string)             1     -
599
            [m_data_output_agent]     cl_syoscb_cfg_pl              -     @383
600
              list                    da(string)                    2     -
601
                [0]                   string                        3     DUT
602
                [1]                   string                        3     REF
603
          primary_queue               string                        3     DUT
604
          disable_clone               integral                      1     'h0
605
          max_queue_size              aa(int,string)                2     -
606
            [DUT]                     integral                      32    'h0
607
            [REF]                     integral                      32    'h0
608
          scb_name                    string                        22    m_reference_scoreboard
609
        iter_idx                      integral                      32    'h0
610
        cnt_add_item                  integral                      32    'h0
611
        items                         da(object)                    0     -
612
      compare_strategy                cl_syoscb_compare             -     @940
613
        cfg                           cl_syoscb_cfg                 -     @382
614
          queues                      aa(object,string)             2     -
615
            [DUT]                     cl_syoscb_queue_std           -     @918
616
              cfg                     cl_syoscb_cfg                 -     @382
617
              iter_idx                integral                      32    'h0
618
              cnt_add_item            integral                      32    'h0
619
              items                   da(object)                    0     -
620
            [REF]                     cl_syoscb_queue_std           -     @929
621
              cfg                     cl_syoscb_cfg                 -     @382
622
              iter_idx                integral                      32    'h0
623
              cnt_add_item            integral                      32    'h0
624
              items                   da(object)                    0     -
625
          producers                   aa(object,string)             1     -
626
            [m_data_output_agent]     cl_syoscb_cfg_pl              -     @383
627
              list                    da(string)                    2     -
628
                [0]                   string                        3     DUT
629
                [1]                   string                        3     REF
630
          primary_queue               string                        3     DUT
631
          disable_clone               integral                      1     'h0
632
          max_queue_size              aa(int,string)                2     -
633
            [DUT]                     integral                      32    'h0
634
            [REF]                     integral                      32    'h0
635
          scb_name                    string                        22    m_reference_scoreboard
636
        compare_algo                  cl_syoscb_compare_iop         -     @991
637
          cfg                         cl_syoscb_cfg                 -     @382
638
            queues                    aa(object,string)             2     -
639
              [DUT]                   cl_syoscb_queue_std           -     @918
640
                cfg                   cl_syoscb_cfg                 -     @382
641
                iter_idx              integral                      32    'h0
642
                cnt_add_item          integral                      32    'h0
643
                items                 da(object)                    0     -
644
              [REF]                   cl_syoscb_queue_std           -     @929
645
                cfg                   cl_syoscb_cfg                 -     @382
646
                iter_idx              integral                      32    'h0
647
                cnt_add_item          integral                      32    'h0
648
                items                 da(object)                    0     -
649
            producers                 aa(object,string)             1     -
650
              [m_data_output_agent]   cl_syoscb_cfg_pl              -     @383
651
                list                  da(string)                    2     -
652
                  [0]                 string                        3     DUT
653
                  [1]                 string                        3     REF
654
            primary_queue             string                        3     DUT
655
            disable_clone             integral                      1     'h0
656
            max_queue_size            aa(int,string)                2     -
657
              [DUT]                   integral                      32    'h0
658
              [REF]                   integral                      32    'h0
659
            scb_name                  string                        22    m_reference_scoreboard
660
      m_data_output_agent_DUT_subscr  cl_syoscb_subscriber          -     @950
661
        analysis_imp                  uvm_analysis_imp              -     @959
662
        queue_name                    string                        3     DUT
663
        producer                      string                        19    m_data_output_agent
664
      m_data_output_agent_REF_subscr  cl_syoscb_subscriber          -     @969
665
        analysis_imp                  uvm_analysis_imp              -     @978
666
        queue_name                    string                        3     REF
667
        producer                      string                        19    m_data_output_agent
668
      cfg                             cl_syoscb_cfg                 -     @382
669
        queues                        aa(object,string)             2     -
670
          [DUT]                       cl_syoscb_queue_std           -     @918
671
            cfg                       cl_syoscb_cfg                 -     @382
672
            iter_idx                  integral                      32    'h0
673
            cnt_add_item              integral                      32    'h0
674
            items                     da(object)                    0     -
675
          [REF]                       cl_syoscb_queue_std           -     @929
676
            cfg                       cl_syoscb_cfg                 -     @382
677
            iter_idx                  integral                      32    'h0
678
            cnt_add_item              integral                      32    'h0
679
            items                     da(object)                    0     -
680
        producers                     aa(object,string)             1     -
681
          [m_data_output_agent]       cl_syoscb_cfg_pl              -     @383
682
            list                      da(string)                    2     -
683
              [0]                     string                        3     DUT
684
              [1]                     string                        3     REF
685
        primary_queue                 string                        3     DUT
686
        disable_clone                 integral                      1     'h0
687
        max_queue_size                aa(int,string)                2     -
688
          [DUT]                       integral                      32    'h0
689
          [REF]                       integral                      32    'h0
690
        scb_name                      string                        22    m_reference_scoreboard
691
      queues                          da(object)                    2     -
692
        [0]                           cl_syoscb_queue_std           -     @918
693
          cfg                         cl_syoscb_cfg                 -     @382
694
            queues                    aa(object,string)             2     -
695
              [DUT]                   cl_syoscb_queue_std           -     @918
696
              [REF]                   cl_syoscb_queue_std           -     @929
697
                cfg                   cl_syoscb_cfg                 -     @382
698
                iter_idx              integral                      32    'h0
699
                cnt_add_item          integral                      32    'h0
700
                items                 da(object)                    0     -
701
            producers                 aa(object,string)             1     -
702
              [m_data_output_agent]   cl_syoscb_cfg_pl              -     @383
703
                list                  da(string)                    2     -
704
                  [0]                 string                        3     DUT
705
                  [1]                 string                        3     REF
706
            primary_queue             string                        3     DUT
707
            disable_clone             integral                      1     'h0
708
            max_queue_size            aa(int,string)                2     -
709
              [DUT]                   integral                      32    'h0
710
              [REF]                   integral                      32    'h0
711
            scb_name                  string                        22    m_reference_scoreboard
712
          iter_idx                    integral                      32    'h0
713
          cnt_add_item                integral                      32    'h0
714
          items                       da(object)                    0     -
715
        [1]                           cl_syoscb_queue_std           -     @929
716
          cfg                         cl_syoscb_cfg                 -     @382
717
            queues                    aa(object,string)             2     -
718
              [DUT]                   cl_syoscb_queue_std           -     @918
719
                cfg                   cl_syoscb_cfg                 -     @382
720
                iter_idx              integral                      32    'h0
721
                cnt_add_item          integral                      32    'h0
722
                items                 da(object)                    0     -
723
              [REF]                   cl_syoscb_queue_std           -     @929
724
            producers                 aa(object,string)             1     -
725
              [m_data_output_agent]   cl_syoscb_cfg_pl              -     @383
726
                list                  da(string)                    2     -
727
                  [0]                 string                        3     DUT
728
                  [1]                 string                        3     REF
729
            primary_queue             string                        3     DUT
730
            disable_clone             integral                      1     'h0
731
            max_queue_size            aa(int,string)                2     -
732
              [DUT]                   integral                      32    'h0
733
              [REF]                   integral                      32    'h0
734
            scb_name                  string                        22    m_reference_scoreboard
735
          iter_idx                    integral                      32    'h0
736
          cnt_add_item                integral                      32    'h0
737
          items                       da(object)                    0     -
738
      compare_strategy                cl_syoscb_compare             -     @940
739
        cfg                           cl_syoscb_cfg                 -     @382
740
          queues                      aa(object,string)             2     -
741
            [DUT]                     cl_syoscb_queue_std           -     @918
742
              cfg                     cl_syoscb_cfg                 -     @382
743
              iter_idx                integral                      32    'h0
744
              cnt_add_item            integral                      32    'h0
745
              items                   da(object)                    0     -
746
            [REF]                     cl_syoscb_queue_std           -     @929
747
              cfg                     cl_syoscb_cfg                 -     @382
748
              iter_idx                integral                      32    'h0
749
              cnt_add_item            integral                      32    'h0
750
              items                   da(object)                    0     -
751
          producers                   aa(object,string)             1     -
752
            [m_data_output_agent]     cl_syoscb_cfg_pl              -     @383
753
              list                    da(string)                    2     -
754
                [0]                   string                        3     DUT
755
                [1]                   string                        3     REF
756
          primary_queue               string                        3     DUT
757
          disable_clone               integral                      1     'h0
758
          max_queue_size              aa(int,string)                2     -
759
            [DUT]                     integral                      32    'h0
760
            [REF]                     integral                      32    'h0
761
          scb_name                    string                        22    m_reference_scoreboard
762
        compare_algo                  cl_syoscb_compare_iop         -     @991
763
          cfg                         cl_syoscb_cfg                 -     @382
764
            queues                    aa(object,string)             2     -
765
              [DUT]                   cl_syoscb_queue_std           -     @918
766
                cfg                   cl_syoscb_cfg                 -     @382
767
                iter_idx              integral                      32    'h0
768
                cnt_add_item          integral                      32    'h0
769
                items                 da(object)                    0     -
770
              [REF]                   cl_syoscb_queue_std           -     @929
771
                cfg                   cl_syoscb_cfg                 -     @382
772
                iter_idx              integral                      32    'h0
773
                cnt_add_item          integral                      32    'h0
774
                items                 da(object)                    0     -
775
            producers                 aa(object,string)             1     -
776
              [m_data_output_agent]   cl_syoscb_cfg_pl              -     @383
777
                list                  da(string)                    2     -
778
                  [0]                 string                        3     DUT
779
                  [1]                 string                        3     REF
780
            primary_queue             string                        3     DUT
781
            disable_clone             integral                      1     'h0
782
            max_queue_size            aa(int,string)                2     -
783
              [DUT]                   integral                      32    'h0
784
              [REF]                   integral                      32    'h0
785
            scb_name                  string                        22    m_reference_scoreboard
786
      subscribers                     aa(object,string)             2     -
787
        [DUTm_data_output_agent]      cl_syoscb_subscriber          -     @950
788
          analysis_imp                uvm_analysis_imp              -     @959
789
          queue_name                  string                        3     DUT
790
          producer                    string                        19    m_data_output_agent
791
        [REFm_data_output_agent]      cl_syoscb_subscriber          -     @969
792
          analysis_imp                uvm_analysis_imp              -     @978
793
          queue_name                  string                        3     REF
794
          producer                    string                        19    m_data_output_agent
795
------------------------------------------------------------------------------------------------
796
 
797
UVM_INFO /apps/vcsmx/etc/uvm-1.2/base/uvm_factory.svh(1645) @ 0: reporter [UVM/FACTORY/PRINT]
798
#### Factory Configuration (*)
799
 
800
Instance Overrides:
801
 
802
  Requested Type          Override Path                                Override Type
803
  ----------------------  -------------------------------------------  ---------------------
804
  cl_syoscb_compare_base  uvm_test_top.m_env.m_reference_scoreboard.*  cl_syoscb_compare_iop
805
 
806
Type Overrides:
807
 
808
  Requested Type          Override Type
809
  ----------------------  -------------------------------------------
810
  cl_syoscb_queue         cl_syoscb_queue_std
811
 
812
All types registered with the factory: 85 total
813
  Type Name
814
  ---------
815
  cl_syoscb
816
  cl_syoscb_cfg
817
  cl_syoscb_cfg_pl
818
  cl_syoscb_compare
819
  cl_syoscb_compare_base
820
  cl_syoscb_compare_io
821
  cl_syoscb_compare_iop
822
  cl_syoscb_compare_ooo
823
  cl_syoscb_item
824
  cl_syoscb_queue
825
  cl_syoscb_queue_iterator_base
826
  cl_syoscb_queue_iterator_std
827
  cl_syoscb_queue_std
828
  cl_syoscb_subscriber
829
  data_input_agent
830
  data_input_coverage
831
  data_input_default_seq
832
  data_input_driver
833
  data_input_monitor
834
  data_output_agent
835
  data_output_coverage
836
  data_output_default_seq
837
  data_output_driver
838
  data_output_monitor
839
  input_tx
840
  output_tx
841
  reference
842
  snps_uvm_reg_bank_group
843
  snps_uvm_reg_map
844
  top_default_seq
845
  top_env
846
  top_test
847
(*) Types with no associated type name will be printed as 
848
 
849
####
850
 
851
 
852
UVM_INFO ../tb/data_input/sv/data_input_driver.sv(43) @ 0: uvm_test_top.m_env.m_data_input_agent.m_driver [data_input_driver] run_phase
853
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(54) @ 0: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] run_phase
854
UVM_INFO ../tb/data_output/sv/data_output_driver.sv(43) @ 0: uvm_test_top.m_env.m_data_output_agent.m_driver [data_output_driver] run_phase
855
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(54) @ 0: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] run_phase
856
UVM_INFO ../tb/top/sv/top_seq_lib.sv(49) @ 0: reporter@@vseq [top_default_seq] Default sequence starting
857
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(41) @ 0: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence starting
858
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(41) @ 0: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence starting
859
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(49) @ 75000: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence completed
860
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(49) @ 75000: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence completed
861
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(41) @ 75000: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence starting
862
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(41) @ 75000: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence starting
863
DUT: rx_tdata_i 46, tx_tdata_o 46
864
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(70) @ 90000: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] Output data = 46
865
UVM_INFO ../tb/top/sv/reference.sv(72) @ 90000: uvm_test_top.m_env.m_reference [reference] Reference Model save_pnt = 0, data = 46
866
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(70) @ 90000: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] Input data = 46
867
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(49) @ 90000: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence completed
868
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(49) @ 90000: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence completed
869
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(41) @ 90000: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence starting
870
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(41) @ 90000: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence starting
871
DUT: rx_tdata_i 2, tx_tdata_o 48
872
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(70) @ 110000: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] Output data = 48
873
UVM_INFO ../tb/top/sv/reference.sv(72) @ 110000: uvm_test_top.m_env.m_reference [reference] Reference Model save_pnt = 1, data = 48
874
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(70) @ 110000: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] Input data = 2
875
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(49) @ 110000: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence completed
876
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(49) @ 110000: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence completed
877
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(41) @ 110000: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence starting
878
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(41) @ 110000: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence starting
879
DUT: rx_tdata_i 82, tx_tdata_o 130
880
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(70) @ 130000: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] Output data = 130
881
UVM_INFO ../tb/top/sv/reference.sv(72) @ 130000: uvm_test_top.m_env.m_reference [reference] Reference Model save_pnt = 2, data = 130
882
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(70) @ 130000: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] Input data = 82
883
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(49) @ 130000: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence completed
884
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(49) @ 130000: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence completed
885
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(41) @ 130000: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence starting
886
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(41) @ 130000: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence starting
887
DUT: rx_tdata_i 21, tx_tdata_o 151
888
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(70) @ 150000: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] Output data = 151
889
UVM_INFO ../tb/top/sv/reference.sv(72) @ 150000: uvm_test_top.m_env.m_reference [reference] Reference Model save_pnt = 3, data = 151
890
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(70) @ 150000: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] Input data = 21
891
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(49) @ 150000: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence completed
892
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(49) @ 150000: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence completed
893
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(41) @ 150000: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence starting
894
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(41) @ 150000: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence starting
895
DUT: rx_tdata_i 8, tx_tdata_o 159
896
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(70) @ 170000: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] Output data = 159
897
UVM_INFO ../tb/top/sv/reference.sv(72) @ 170000: uvm_test_top.m_env.m_reference [reference] Reference Model save_pnt = 4, data = 159
898
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(70) @ 170000: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] Input data = 8
899
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(49) @ 170000: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence completed
900
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(49) @ 170000: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence completed
901
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(41) @ 170000: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence starting
902
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(41) @ 170000: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence starting
903
DUT: rx_tdata_i 21, tx_tdata_o 180
904
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(70) @ 190000: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] Output data = 180
905
UVM_INFO ../tb/top/sv/reference.sv(72) @ 190000: uvm_test_top.m_env.m_reference [reference] Reference Model save_pnt = 5, data = 180
906
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(70) @ 190000: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] Input data = 21
907
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(49) @ 190000: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence completed
908
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(49) @ 190000: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence completed
909
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(41) @ 190000: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence starting
910
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(41) @ 190000: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence starting
911
DUT: rx_tdata_i 11, tx_tdata_o 145
912
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(70) @ 210000: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] Output data = 145
913
UVM_INFO ../tb/top/sv/reference.sv(72) @ 210000: uvm_test_top.m_env.m_reference [reference] Reference Model save_pnt = 0, data = 145
914
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(70) @ 210000: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] Input data = 11
915
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(49) @ 210000: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence completed
916
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(49) @ 210000: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence completed
917
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(41) @ 210000: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence starting
918
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(41) @ 210000: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence starting
919
DUT: rx_tdata_i 125, tx_tdata_o 268
920
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(70) @ 230000: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] Output data = 268
921
UVM_INFO ../tb/top/sv/reference.sv(72) @ 230000: uvm_test_top.m_env.m_reference [reference] Reference Model save_pnt = 1, data = 268
922
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(70) @ 230000: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] Input data = 125
923
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(49) @ 230000: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence completed
924
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(49) @ 230000: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence completed
925
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(41) @ 230000: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence starting
926
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(41) @ 230000: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence starting
927
DUT: rx_tdata_i 79, tx_tdata_o 265
928
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(70) @ 250000: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] Output data = 265
929
UVM_INFO ../tb/top/sv/reference.sv(72) @ 250000: uvm_test_top.m_env.m_reference [reference] Reference Model save_pnt = 2, data = 265
930
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(70) @ 250000: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] Input data = 79
931
UVM_INFO ../tb/data_input/sv/data_input_seq_lib.sv(49) @ 250000: uvm_test_top.m_env.m_data_input_agent.m_sequencer@@vseq.seq [data_input_default_seq] Default sequence completed
932
UVM_INFO ../tb/data_output/sv/data_output_seq_lib.sv(49) @ 250000: uvm_test_top.m_env.m_data_output_agent.m_sequencer@@vseq.seq [data_output_default_seq] Default sequence completed
933
UVM_INFO ../tb/top/sv/top_seq_lib.sv(78) @ 250000: reporter@@vseq [top_default_seq] Default sequence completed
934
DUT: rx_tdata_i 38, tx_tdata_o 282
935
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(70) @ 270000: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] Output data = 282
936
UVM_INFO ../tb/top/sv/reference.sv(72) @ 270000: uvm_test_top.m_env.m_reference [reference] Reference Model save_pnt = 3, data = 282
937
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(70) @ 270000: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] Input data = 38
938
DUT: rx_tdata_i 38, tx_tdata_o 312
939
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(70) @ 290000: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] Output data = 312
940
UVM_INFO ../tb/top/sv/reference.sv(72) @ 290000: uvm_test_top.m_env.m_reference [reference] Reference Model save_pnt = 4, data = 312
941
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(70) @ 290000: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] Input data = 38
942
DUT: rx_tdata_i 38, tx_tdata_o 329
943
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(70) @ 310000: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] Output data = 329
944
UVM_INFO ../tb/top/sv/reference.sv(72) @ 310000: uvm_test_top.m_env.m_reference [reference] Reference Model save_pnt = 5, data = 329
945
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(70) @ 310000: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] Input data = 38
946
DUT: rx_tdata_i 38, tx_tdata_o 356
947
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(70) @ 330000: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] Output data = 356
948
UVM_INFO ../tb/top/sv/reference.sv(72) @ 330000: uvm_test_top.m_env.m_reference [reference] Reference Model save_pnt = 0, data = 356
949
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(70) @ 330000: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] Input data = 38
950
DUT: rx_tdata_i 38, tx_tdata_o 269
951
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(70) @ 350000: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] Output data = 269
952
UVM_INFO ../tb/top/sv/reference.sv(72) @ 350000: uvm_test_top.m_env.m_reference [reference] Reference Model save_pnt = 1, data = 269
953
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(70) @ 350000: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] Input data = 38
954
DUT: rx_tdata_i 38, tx_tdata_o 228
955
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(70) @ 370000: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] Output data = 228
956
UVM_INFO ../tb/top/sv/reference.sv(72) @ 370000: uvm_test_top.m_env.m_reference [reference] Reference Model save_pnt = 2, data = 228
957
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(70) @ 370000: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] Input data = 38
958
DUT: rx_tdata_i 38, tx_tdata_o 228
959
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(70) @ 390000: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] Output data = 228
960
UVM_INFO ../tb/top/sv/reference.sv(72) @ 390000: uvm_test_top.m_env.m_reference [reference] Reference Model save_pnt = 3, data = 228
961
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(70) @ 390000: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] Input data = 38
962
DUT: rx_tdata_i 38, tx_tdata_o 228
963
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(70) @ 410000: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] Output data = 228
964
UVM_INFO ../tb/top/sv/reference.sv(72) @ 410000: uvm_test_top.m_env.m_reference [reference] Reference Model save_pnt = 4, data = 228
965
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(70) @ 410000: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] Input data = 38
966
DUT: rx_tdata_i 38, tx_tdata_o 228
967
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(70) @ 430000: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] Output data = 228
968
UVM_INFO ../tb/top/sv/reference.sv(72) @ 430000: uvm_test_top.m_env.m_reference [reference] Reference Model save_pnt = 5, data = 228
969
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(70) @ 430000: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] Input data = 38
970
DUT: rx_tdata_i 38, tx_tdata_o 228
971
UVM_INFO ../tb/data_output/sv/data_output_monitor.sv(70) @ 450000: uvm_test_top.m_env.m_data_output_agent.m_monitor [data_output_monitor] Output data = 228
972
UVM_INFO ../tb/top/sv/reference.sv(72) @ 450000: uvm_test_top.m_env.m_reference [reference] Reference Model save_pnt = 0, data = 228
973
UVM_INFO ../tb/data_input/sv/data_input_monitor.sv(70) @ 450000: uvm_test_top.m_env.m_data_input_agent.m_monitor [data_input_monitor] Input data = 38
974
UVM_INFO /apps/vcsmx/etc/uvm-1.2/base/uvm_objection.svh(1270) @ 450000: reporter [TEST_DONE] 'run' phase is ready to proceed to the 'extract' phase
975
UVM_INFO ../tb/data_input/sv/data_input_coverage.sv(79) @ 450000: uvm_test_top.m_env.m_data_input_coverage [data_input_coverage] Coverage score = 7.0%
976
UVM_INFO ../tb/data_output/sv/data_output_coverage.sv(83) @ 450000: uvm_test_top.m_env.m_data_output_coverage [data_output_coverage] Coverage disabled for this agent
977
UVM_INFO ../../../../playground_lib/uvm_syoscb/src/cl_syoscb_queue.svh(118) @ 450000: uvm_test_top.m_env.m_reference_scoreboard.DUT [QUEUE] [m_reference_scoreboard]: Statistics for queue: DUT:
978
Inserts: 19, Macthed: 19, Orphans: 0
979
UVM_INFO ../../../../playground_lib/uvm_syoscb/src/cl_syoscb_queue.svh(118) @ 450000: uvm_test_top.m_env.m_reference_scoreboard.REF [QUEUE] [m_reference_scoreboard]: Statistics for queue: REF:
980
Inserts: 19, Macthed: 19, Orphans: 0
981
UVM_INFO /apps/vcsmx/etc/uvm-1.2/base/uvm_report_catcher.svh(705) @ 450000: reporter [UVM/REPORT/CATCHER]
982
--- UVM Report catcher Summary ---
983
 
984
 
985
Number of demoted UVM_FATAL reports  :    0
986
Number of demoted UVM_ERROR reports  :    0
987
Number of demoted UVM_WARNING reports:    0
988
Number of caught UVM_FATAL reports   :    0
989
Number of caught UVM_ERROR reports   :    0
990
Number of caught UVM_WARNING reports :    0
991
 
992
UVM_INFO /apps/vcsmx/etc/uvm-1.2/base/uvm_report_server.svh(847) @ 450000: reporter [UVM/REPORT/SERVER]
993
--- UVM Report Summary ---
994
 
995
** Report counts by severity
996
UVM_INFO :  117
997
UVM_WARNING :    0
998
UVM_ERROR :    0
999
UVM_FATAL :    0
1000
** Report counts by id
1001
[QUEUE]     2
1002
[RNTST]     1
1003
[TEST_DONE]     1
1004
[UVM/FACTORY/PRINT]     1
1005
[UVM/RELNOTES]     1
1006
[UVM/REPORT/CATCHER]     1
1007
[UVMTOP]     1
1008
[data_input_coverage]     1
1009
[data_input_default_seq]    20
1010
[data_input_driver]     1
1011
[data_input_monitor]    20
1012
[data_output_coverage]     1
1013
[data_output_default_seq]    20
1014
[data_output_driver]     1
1015
[data_output_monitor]    20
1016
[reference]    19
1017
[top_default_seq]     2
1018
[top_env]     4
1019
 
1020
$finish called from file "/apps/vcsmx/etc/uvm-1.2/base/uvm_root.svh", line 527.
1021
$finish at simulation time               450000
1022
           V C S   S i m u l a t i o n   R e p o r t
1023
Time: 450000 ps
1024
CPU Time:      0.560 seconds;       Data structure size:   0.3Mb
1025
Sat Apr 27 14:03:02 2019
1026
CPU time: 13.223 seconds to compile + .377 seconds to elab + .860 seconds to link + .697 seconds in simulation
1027
Done

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.