OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [lpffir_uvm/] [generated_tb/] [tb/] [data_input/] [sv/] [data_input_config.sv] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 vladimirar
// You can insert code here by setting file_header_inc in file common.tpl
2
 
3
//=============================================================================
4
// Project  : generated_tb
5
//
6
// File Name: data_input_config.sv
7
//
8
//
9
// Version:   1.0
10
//
11
// Code created by Easier UVM Code Generator version 2016-04-18-EP on Sat Apr 27 13:59:59 2019
12
//=============================================================================
13
// Description: Configuration for agent data_input
14
//=============================================================================
15
 
16
`ifndef DATA_INPUT_CONFIG_SV
17
`define DATA_INPUT_CONFIG_SV
18
 
19
// You can insert code here by setting agent_config_inc_before_class in file data_input.tpl
20
 
21
class data_input_config extends uvm_object;
22
 
23
  // Do not register config class with the factory
24
 
25
  virtual data_input_if    vif;
26
 
27
  uvm_active_passive_enum  is_active = UVM_ACTIVE;
28
  bit                      coverage_enable;
29
  bit                      checks_enable;
30
 
31
  // You can insert variables here by setting config_var in file data_input.tpl
32
 
33
  // You can remove new by setting agent_config_generate_methods_inside_class = no in file data_input.tpl
34
 
35
  extern function new(string name = "");
36
 
37
  // You can insert code here by setting agent_config_inc_inside_class in file data_input.tpl
38
 
39
endclass : data_input_config
40
 
41
 
42
// You can remove new by setting agent_config_generate_methods_after_class = no in file data_input.tpl
43
 
44
function data_input_config::new(string name = "");
45
  super.new(name);
46
endfunction : new
47
 
48
 
49
// You can insert code here by setting agent_config_inc_after_class in file data_input.tpl
50
 
51
`endif // DATA_INPUT_CONFIG_SV
52
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.