OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [lpffir_uvm/] [generated_tb/] [tb/] [data_output/] [sv/] [data_output_sequencer.sv] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 vladimirar
// You can insert code here by setting file_header_inc in file common.tpl
2
 
3
//=============================================================================
4
// Project  : generated_tb
5
//
6
// File Name: data_output_sequencer.sv
7
//
8
//
9
// Version:   1.0
10
//
11
// Code created by Easier UVM Code Generator version 2016-04-18-EP on Sat Apr 27 13:59:59 2019
12
//=============================================================================
13
// Description: Sequencer for data_output
14
//=============================================================================
15
 
16
`ifndef DATA_OUTPUT_SEQUENCER_SV
17
`define DATA_OUTPUT_SEQUENCER_SV
18
 
19
// Sequencer class is specialization of uvm_sequencer
20
typedef uvm_sequencer #(output_tx) data_output_sequencer_t;
21
 
22
 
23
`endif // DATA_OUTPUT_SEQUENCER_SV
24
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.