OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [lpffir_uvm/] [include/] [data_input_do_mon.sv] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 vladimirar
task data_input_monitor::do_mon;
2
  forever @(posedge vif.clk)
3
  begin
4
    wait (vif.reset == 1);
5
    if (vif.valid && vif.ready)
6
    begin
7
      m_trans.data = vif.data;
8
      analysis_port.write(m_trans);
9
      `uvm_info(get_type_name(), $sformatf("Input data = %0d", m_trans.data), UVM_HIGH)
10
    end
11
  end
12
endtask

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.