OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [lpffir_uvm/] [include/] [data_input_driver_inc_after_class.sv] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 vladimirar
task data_input_driver::run_phase(uvm_phase phase);
2
  `uvm_info(get_type_name(), "run_phase", UVM_HIGH)
3
 
4
  forever @(posedge vif.clk)
5
  begin
6
    seq_item_port.get_next_item(req);
7
    phase.raise_objection(this);
8
    wait (vif.reset == 1);
9
    vif.data <= req.data;
10
    vif.valid  <= 1;
11
    vif.last  <= 0;
12
    wait (vif.ready == 1);
13
 
14
    fork
15
      begin
16
        repeat (10) @(posedge vif.clk);
17
        phase.drop_objection(this);
18
      end
19
    join_none
20
    seq_item_port.item_done();
21
  end
22
endtask : run_phase

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.