OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [easier_uvm_gen/] [examples/] [example_templates/] [common.tpl] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
# Mandatory settings
2
dut_top   = dut
3
 
4
# The rest of the settings in this file are optional
5
dut_source_path = dut  # Path to directory containing DUT files.         Default is dut
6
inc_path  = inc        # Path to directory containing include files.     Default is include
7
dut_pfile = dut_pfile  # Path to pinlist file.                           Default is pinlist
8
prefix    = toplevel   # Prefix used to construct top-level class names. Default is top
9
project   = project    # Directory containing generated files.           Default is generated_tb
10
dut_iname = dut_inst   # Instance name of generated DUT module.          Default is uut
11
 
12
# Text fields included in every generated file header
13
copyright = My copyright string
14
name      = My name
15
email     = my.email
16
tel       = My telephone
17
dept      = My department
18
company   = My company
19
year      = My year
20
version   = Version_string
21
 
22
#generate_file_header = no            # Suppress the generated file header that includes the above fields
23
#file_header_inc = file_header_inc.sv # Insert user-defined file header after the above generated file header
24
 
25
timeunit       = 1ns
26
timeprecision  = 1ns
27
 
28
backup         = no                   # Whether to keep a backup copy of the generated files (file extension .bak). Default is yes
29
 
30
#comments_at_include_locations = no   # Whether to generate comments showing where you can insert code and suppress generation of certain methods
31
 
32
#dual_top          = yes              # Has two top-level modules rather than instantiating test harness module from test bench module
33
#split_transactors = yes              # Expects driver & monitor to be partitioned into untimed and synthesizable parts
34
 
35
# Common packages, always the first two user-defined packages imported in the generated code
36
common_pkg     = common_pkg.sv        # Include package file from dut directory
37
common_env_pkg = common_env_pkg.sv    # Include package file from include directory
38
 
39
# Register model (alternative to using the deprecated reg.tpl)
40
regmodel_file      = regmodel.sv      # Path to file containing register model
41
top_reg_block_type = top_reg_block    # Top-level uvm_reg_block type in register model
42
 
43
 
44
# Enable or suppress automatic generation of code or methods. Default is yes in every case
45
#th_generate_clock_and_reset                  = no  # Clock and reset declarations and assignments in test harness _th
46
#tb_generate_run_test                         = no  # initial block that calls run_test() in _tb
47
 
48
#top_env_generate_methods_inside_class        = no  # build_phase, connect_phase, run_phase
49
#top_env_generate_methods_after_class         = no  # build_phase, connect_phase, run_phase
50
#top_env_generate_run_phase                   = no  # run_phase
51
#top_env_generate_end_of_elaboration          = no  # end_of_elaboration_phase printing topology and factory
52
 
53
#top_env_config_generate_methods_inside_class = no  # new
54
#top_env_config_generate_methods_after_class  = no  # new
55
 
56
#test_generate_methods_inside_class           = no  # build_phase
57
#test_generate_methods_after_class            = no  # build_phase
58
 
59
 
60
# Include files for inserting user-defined code within automatically generated code
61
# By default, generates one `include directive. If inline is specified, code is copied inline instead of using an `include
62
 
63
th_inc_inside_module             = th_inc_inside_module.sv              inline  # Insert code in test harness _th before dut instantiation
64
tb_inc_inside_module             = tb_inc_inside_module.sv              inline  # Insert code in test bench _tb before test harness instantiation
65
 
66
test_inc_before_class            = test_inc_before_class.sv             inline
67
test_inc_inside_class            = test_inc_inside_class.sv             inline
68
test_inc_after_class             = test_inc_after_class.sv              inline
69
 
70
# The following require test_generate_methods_after_class = yes (the default)
71
test_prepend_to_build_phase      = test_prepend_to_build_phase.sv       inline  # Insert code at start of build_phase method
72
test_append_to_build_phase       = test_append_to_build_phase.sv        inline  # Insert code at end of build_phase method
73
 
74
top_env_inc_before_class         = top_env_inc_before_class.sv          inline
75
top_env_inc_inside_class         = top_env_inc_inside_class.sv          inline
76
top_env_inc_after_class          = top_env_inc_after_class.sv           inline
77
 
78
# The following require top_env_generate_methods_after_class = yes (the default)
79
top_env_prepend_to_build_phase   = top_env_prepend_to_build_phase.sv    inline  # Insert code at start of build_phase method
80
top_env_append_to_build_phase    = top_env_append_to_build_phase.sv     inline  # Insert code at end of build_phase method
81
top_env_append_to_connect_phase  = top_env_append_to_connect_phase.sv   inline  # Insert code at end of connect_phase method
82
top_env_append_to_run_phase      = top_env_append_to_run_phase.sv       inline  # Insert code at end of run_phase method
83
 
84
# Includes for top-level configuration class
85
top_env_config_inc_before_class  = top_env_config_inc_before_class.sv   inline
86
top_env_config_inc_inside_class  = top_env_config_inc_inside_class.sv   inline
87
top_env_config_inc_after_class   = top_env_config_inc_after_class.sv    inline
88
 
89
# Includes for top-level sequence "library", i.e. the file _seq_lib containing _default_seq
90
top_seq_inc                      = top_seq_inc.sv                       inline
91
 
92
# List of factory overrides. Generates calls to set_type_override in build_phase method of _test
93
#top_factory_set                 = top_default_seq user_defined_sequence_class
94
 
95
nested_config_objects = yes      # Instantiates agent configuration objects from top-level config object. Default is no
96
 
97
# List of declarations added to the generated top-level configuration class _config
98
# Note that semicolons are needed, and comments are allowed
99
config_var = // Extra configuration variables from common.tpl
100
config_var = int count = 0;
101
 
102
#top_env_config_append_to_new                 = filename inline                 # Insert code at end of new method
103
 
104
top_default_sequence_count = 10                         # Repeat count for the top-level default virtual sequence. Default is 1
105
 
106
uvm_cmdline = +UVM_VERBOSITY=HIGH +UVM_OBJECTION_TRACE  # Options passed to the UVM Command Line processor
107
uvm_cmdline = +UVM_PHASE_TRACE                          # Further command line arguments
108
 
109
# Settings to instantiate a reference model and Syosil scoreboard
110
#syosil_scoreboard_src_path  = ../../syosil/src                                 # Path to Syosil scoreboard installation
111
#ref_model_input             = refmodel m_myagent_env.m_myagent_agent           # Agent sending transactions to ref model
112
#ref_model_output            = refmodel m_myagent_env.m_myagent_agent           # Agent sending transactions for comparison
113
#ref_model_compare_method    = refmodel iop                                     # Compare method for the scoreboard
114
#ref_model_inc_before_class  = refmodel refmodel_inc_before_class.sv   inline   # Insert code in reference model
115
#ref_model_inc_inside_class  = refmodel refmodel_inc_inside_class.sv   inline
116
#ref_model_inc_after_class   = refmodel refmodel_inc_after_class.sv    inline

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.