OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [easier_uvm_gen/] [examples/] [example_templates/] [myagent.tpl] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
agent_name = myagent                     # Name of agent. Must be at the top of the file.
2
trans_item = mytrans                     # Name of transaction class
3
 
4
#number_of_instances = 1                 # Number of instances of this agent/interface. Default is 1
5
 
6
uvm_seqr_class   = yes                   # Generates a class that extends uvm_sequencer rather than a typedef. Default is no
7
#agent_is_active  = UVM_PASSIVE          # Default is UVM_ACTIVE
8
agent_has_env    = yes                   # Agent has its own env, even if there is no register model. Default is no
9
#additional_agent = another_agent_name   # Default is none.
10
 
11
agent_checks_enable = no                 # Sets checks_enable flag in config object. Default is yes
12
agent_coverage_enable = no               # Sets coverage_enable flag in config object. Default is yes
13
 
14
# List of declarations to be included in the transaction class
15
# Note that semicolons are needed, and comments are allowed
16
trans_var       = rand byte data;        # Transaction variable
17
trans_var       = typedef enum {up, down} dir_t;  # typedefs can be specified using trans_var or trans_meta
18
trans_enum_var  = rand dir_t dir;        # Transaction variable of enumeration type
19
trans_meta      = time timestamp;        # Transaction metadata is excluded from do_compare/pack/unpack methods
20
trans_enum_meta = dir_t mode;
21
 
22
# List of declarations added to the generated configuration class _config
23
# Note that semicolons are needed, and comments are allowed
24
config_var = // Extra configuration variables from myagent.tpl
25
config_var = int count = 0;
26
 
27
 
28
# List of declarations to be include in the interface, which will be _if
29
if_port  = logic clk;
30
if_port  = byte data;
31
 
32
if_clock = clk    # Optional. Only used with driver_inc (below) and with th_generate_clock_and_reset = yes (common.tpl)
33
#if_reset = reset # Ditto
34
 
35
 
36
# Enable or suppress automatic generation of methods. Default is yes in every case
37
#trans_generate_methods_inside_class        = no         # do_copy, do_compare, do_print, do_record, convert2string
38
#trans_generate_methods_after_class         = no
39
 
40
#agent_generate_methods_inside_class        = no         # build_phase, connect_phase
41
#agent_generate_methods_after_class         = no
42
 
43
#agent_env_generate_methods_inside_class    = no         # build_phase, connect_phase
44
#agent_env_generate_methods_after_class     = no
45
 
46
#agent_cover_generate_methods_inside_class  = no         # new, write, report_phase, covergroup m_cov
47
#agent_cover_generate_methods_after_class   = no
48
 
49
#agent_config_generate_methods_inside_class = no         # new
50
#agent_config_generate_methods_after_class  = no
51
 
52
#reg_cover_generate_methods_inside_class    = no         # new, write, report_phase, covergroup m_cov
53
#reg_cover_generate_methods_after_class     = no
54
 
55
#adapter_generate_methods_inside_class      = no         # reg2bus, bus2reg
56
#adapter_generate_methods_after_class       = no
57
 
58
# Convenience includes to help beginners get started
59
driver_inc                    = myagent_driver_inc.sv                 inline
60
monitor_inc                   = myagent_monitor_inc.sv                inline
61
agent_cover_inc               = myagent_cover_inc.sv                  inline
62
reg_cover_inc                 = myagent_env_cover_inc.sv              inline
63
 
64
 
65
# Include files for inserting user-defined code within automatically generated code
66
# Each setting does nothing except generate one include, so can be freely combined with other settings
67
# By default, generates one `include directive. If inline is specified, code is copied inline instead of using an `include
68
 
69
# Includes for interface
70
if_inc_inside_interface       = if_inc_inside_interface.sv            inline
71
 
72
# Includes for transaction class
73
trans_inc_before_class        = mytrans_inc_before_class.sv           inline
74
trans_inc_inside_class        = mytrans_inc_inside_class.sv           inline
75
trans_inc_after_class         = mytrans_inc_after_class.sv            inline
76
 
77
# Includes for agent class
78
agent_inc_before_class        = myagent_inc_before_class.sv           inline
79
agent_inc_inside_class        = myagent_inc_inside_class.sv           inline
80
agent_inc_after_class         = myagent_inc_after_class.sv            inline
81
 
82
# The following require agent_generate_methods_after_class = yes (the default)
83
agent_prepend_to_build_phase  = myagent_prepend_to_build_phase.sv     inline  # Insert code at start of build_phase method
84
agent_append_to_build_phase   = myagent_append_to_build_phase.sv      inline  # Insert code at end of build_phase method
85
agent_append_to_connect_phase = myagent_append_to_connect_phase.sv    inline  # Insert code at end of connect_phase method
86
 
87
# Includes for sequencer class, require uvm_seqr_class = yes
88
sequencer_inc_before_class    = myagent_sequencer_inc_before_class.sv inline
89
sequencer_inc_inside_class    = myagent_sequencer_inc_inside_class.sv inline
90
sequencer_inc_after_class     = myagent_sequencer_inc_after_class.sv  inline
91
 
92
# Includes for driver class
93
driver_inc_before_class       = myagent_driver_inc_before_class.sv    inline
94
driver_inc_inside_class       = myagent_driver_inc_inside_class.sv    inline
95
driver_inc_after_class        = myagent_driver_inc_after_class.sv     inline
96
 
97
# Includes for monitor class
98
monitor_inc_before_class      = myagent_monitor_inc_before_class.sv   inline
99
monitor_inc_inside_class      = myagent_monitor_inc_inside_class.sv   inline
100
monitor_inc_after_class       = myagent_monitor_inc_after_class.sv    inline
101
 
102
# Includes for agent coverage (subscriber) class
103
agent_cover_inc_before_class  = myagent_cover_inc_before_class.sv     inline
104
agent_cover_inc_inside_class  = myagent_cover_inc_inside_class.sv     inline
105
agent_cover_inc_after_class   = myagent_cover_inc_after_class.sv      inline
106
 
107
# Includes for agent configuration class
108
agent_config_inc_before_class = myagent_config_inc_before_class.sv    inline
109
agent_config_inc_inside_class = myagent_config_inc_inside_class.sv    inline
110
agent_config_inc_after_class  = myagent_config_inc_after_class.sv     inline
111
 
112
# Includes for agent env class (for the top-level env, see common.tpl)
113
# agent_env_inc_* only makes sense with uvm_seqr_class = yes or reg_access_name
114
agent_env_inc_before_class    = myagent_env_inc_before_class.sv       inline
115
agent_env_inc_inside_class    = myagent_env_inc_inside_class.sv       inline
116
agent_env_inc_after_class     = myagent_env_inc_after_class.sv        inline
117
 
118
# The following require agent_env_generate_methods_after_class = yes (the default)
119
agent_env_prepend_to_build_phase  = myagent_env_prepend_to_build_phase.sv   inline  # Insert code at start of build_phase method
120
agent_env_append_to_build_phase   = myagent_env_append_to_build_phase.sv    inline  # Insert code at end of build_phase method
121
agent_env_append_to_connect_phase = myagent_env_append_to_connect_phase.sv  inline  # Insert code at end of connect_phase method
122
 
123
# Includes for agent env coverage (subscriber) class
124
reg_cover_inc_before_class    = myagent_env_cover_inc_before_class.sv inline
125
reg_cover_inc_inside_class    = myagent_env_cover_inc_inside_class.sv inline
126
reg_cover_inc_after_class     = myagent_env_cover_inc_after_class.sv  inline
127
 
128
# Includes for reg adapter class
129
adapter_inc_before_class      = myagent_adapter/inc_before_class.sv  inline
130
adapter_inc_inside_class      = myagent_adapter/inc_inside_class.sv  inline
131
adapter_inc_after_class       = myagent_adapter/inc_after_class.sv   inline
132
 
133
 
134
# Includes for sequence "library", i.e. the file _seq_lib.sv containing _default_seq
135
agent_seq_inc                 = myagent_seq_inc.sv                    inline
136
 
137
# Includes for env sequence "library", i.e. the file _env_seq_lib.sv containing _env_default_seq
138
agent_env_seq_inc             = myagent_env_seq_inc.sv                inline
139
 
140
 
141
# List of factory overrides. Generates calls to set_type_override in build_phase method of _test
142
#agent_factory_set             = myagent_default_seq user_defined_sequence_class
143
 
144
 
145
# Access to registers in the register model
146
reg_access_mode           = WR                 # WR, WR, or RO
147
reg_access_map            = myagent_map        # Variable name of map in top-level register block
148
reg_access_block_type     = bus_reg_block      # Type of register block for this agent
149
reg_access_block_instance = myagent            # Instance name of register block for this agent below regmodel (can be null)
150
 
151
uvm_reg_kind = data   # Transaction variable that indicates read/write
152
uvm_reg_addr = data   # Transaction variable that represents the address
153
uvm_reg_data = data   # Transaction variable that represents the data
154
 
155
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.