OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [easier_uvm_gen/] [examples/] [minimal_plus/] [clkndata.tpl] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
agent_name = clkndata
2
trans_item = data_tx
3
trans_var  = rand byte data;
4
 
5
driver_inc      = clkndata_do_drive.sv   inline
6
monitor_inc     = clkndata_do_mon.sv     inline
7
agent_cover_inc = clkndata_cover_inc.sv  inline
8
 
9
#agent_cover_inc_inside_class = clkndata_cover_inc_inside.sv  inline
10
#agent_cover_inc_after_class  = clkndata_cover_inc_after.sv   inline
11
#agent_cover_generate_methods_inside_class = no
12
#agent_cover_generate_methods_after_class  = no
13
 
14
agent_seq_inc   = my_clkndata_seq.sv
15
 
16
agent_factory_set = clkndata_default_seq my_clkndata_seq
17
 
18
if_port  = logic clk;
19
if_port  = byte data;
20
if_clock = clk

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.