OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [easier_uvm_gen/] [examples/] [minimal_plus/] [include/] [clkndata_cover_inc_after.sv] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
function clkndata_coverage::new (string name, uvm_component parent);
2
  super.new(name, parent);
3
  m_cov = new;
4
endfunction
5
 
6
function void clkndata_coverage::write(input data_tx t);
7
  m_item = t;
8
  m_cov.sample();
9
endfunction : write

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.