OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [easier_uvm_gen/] [examples/] [minimal_plus/] [include/] [my_clkndata_seq.sv] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
 
2
`ifndef MY_CLKNDATA_SEQ_SV
3
`define MY_CLKNDATA_SEQ_SV
4
 
5
 
6
class my_clkndata_seq extends clkndata_default_seq;
7
 
8
  `uvm_object_utils(my_clkndata_seq)
9
 
10
  rand byte data;
11
 
12
  function new(string name = "");
13
    super.new(name);
14
  endfunction : new
15
 
16
  task body();
17
    `uvm_info(get_type_name(), "my_clkndata_seq sequence starting", UVM_HIGH)
18
    super.body();
19
    for (int i = 0; i < 16; i++)
20
    begin
21
      req = data_tx::type_id::create("req");
22
      start_item(req);
23
      if ( !req.randomize() with { data == i; })
24
        `uvm_warning(get_type_name(), "randomization failed!")
25
      finish_item(req);
26
    end
27
    `uvm_info(get_type_name(), "my_clkndata_seq sequence completed", UVM_HIGH)
28
  endtask : body
29
 
30
endclass : my_clkndata_seq
31
 
32
 
33
`endif // CLKNDATA_SEQ_LIB_SV
34
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.