OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [easier_uvm_gen/] [examples/] [minimal_split_txor/] [include/] [bus_driver_inc_after_class.sv] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
task bus_driver::run_phase(uvm_phase phase);
2
  forever
3
  begin
4
    bus_tx_s req_s;
5
    seq_item_port.get_next_item(req);
6
 
7
    // Copy fields to packed struct
8
    req_s.cmd  = req.cmd;
9
    req_s.addr = req.addr;
10
    req_s.data = req.data;
11
 
12
    // Call HDL-side transactor
13
    vif.drive(req_s);
14
 
15
    seq_item_port.item_done();
16
  end
17
endtask : run_phase

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.