OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [easier_uvm_gen/] [examples/] [multi_if/] [clkndata.tpl] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
agent_name = clkndata
2
trans_item = data_tx
3
trans_var  = rand byte data;
4
 
5
#uvm_seqr_class   = yes
6
#agent_is_active  = UVM_PASSIVE
7
#agent_has_env    = yes
8
#additional_agent = serial
9
 
10
driver_inc = clkndata_do_drive.sv   inline
11
monitor_inc = clkndata_do_mon.sv    inline
12
agent_seq_inc = my_clkndata_seq.sv  inline
13
 
14
agent_factory_set = clkndata_default_seq my_clkndata_seq
15
 
16
if_port  = logic clk;
17
if_port  = byte data;
18
if_clock = clk

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.