OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [easier_uvm_gen/] [examples/] [multi_if/] [include/] [clkndata_do_mon.sv] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
task clkndata_monitor::do_mon;
2
  forever @(posedge vif.clk)
3
  begin
4
    m_trans = data_tx::type_id::create("m_trans");
5
    m_trans.data = vif.data;
6
    analysis_port.write(m_trans);
7
  end
8
endtask

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.