OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [easier_uvm_gen/] [examples/] [multi_if/] [include/] [my_serial_seq.sv] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
 
2
`ifndef MY_SERIAL_SEQ_SV
3
`define MY_SERIAL_SEQ_SV
4
 
5
 
6
class my_serial_seq extends serial_default_seq;
7
 
8
  `uvm_object_utils(my_serial_seq)
9
 
10
  rand byte data;
11
 
12
  function new(string name = "");
13
    super.new(name);
14
  endfunction : new
15
 
16
  task body();
17
    `uvm_info(get_type_name(), "my_serial_seq sequence starting", UVM_HIGH)
18
 
19
    req = serial_tx::type_id::create("req");
20
    start_item(req);
21
    if ( !req.randomize() with { dir == serial_tx::IN; })
22
      `uvm_warning(get_type_name(), "randomization failed!")
23
    finish_item(req);
24
 
25
    `uvm_info(get_type_name(), "my_serial_seq sequence completed", UVM_HIGH)
26
  endtask : body
27
 
28
endclass : my_serial_seq
29
 
30
 
31
`endif // SERIAL_SEQ_LIB_SV
32
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.