OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [easier_uvm_gen/] [examples/] [multi_if/] [serial.tpl] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
agent_name = serial
2
trans_item = serial_tx
3
 
4
trans_var      = typedef enum {IN, OUT} dir_t;
5
trans_enum_var = rand dir_t dir;
6
trans_var      = rand byte data;
7
 
8
#uvm_seqr_class   = yes
9
#agent_is_active  = UVM_PASSIVE
10
#agent_has_env    = yes
11
#additional_agent = clkndata
12
 
13
driver_inc    = serial_do_drive.sv  inline
14
monitor_inc   = serial_do_mon.sv    inline
15
agent_seq_inc = my_serial_seq.sv    inline
16
 
17
agent_factory_set = serial_default_seq my_serial_seq
18
 
19
if_port  = logic clk;
20
if_port  = logic data_in;
21
if_port  = logic data_out;
22
if_clock = clk

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.