OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [uvm_syoscb/] [docs/] [html/] [cl__syoscb__compare_8svh_source.html] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
<!-- This comment will put IE 6, 7 and 8 in quirks mode -->
2
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
3
<html xmlns="http://www.w3.org/1999/xhtml">
4
<head>
5
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
6
<title>SyoSil ApS UVM Scoreboard: cl_syoscb_compare.svh Source File</title>
7
<link href="tabs.css" rel="stylesheet" type="text/css"/>
8
<link href="search/search.css" rel="stylesheet" type="text/css"/>
9
<script type="text/javaScript" src="search/search.js"></script>
10
<link href="doxygen.css" rel="stylesheet" type="text/css"/>
11
</head>
12
<body onload='searchBox.OnSelectItem(0);'>
13
<!-- Generated by Doxygen 1.6.1 -->
14
<script type="text/javascript"><!--
15
var searchBox = new SearchBox("searchBox", "search",false,'Search');
16
--></script>
17
<script type="text/javascript">
18
<!--
19
function changeDisplayState (e){
20
  var num=this.id.replace(/[^[0-9]/g,'');
21
  var button=this.firstChild;
22
  var sectionDiv=document.getElementById('dynsection'+num);
23
  if (sectionDiv.style.display=='none'||sectionDiv.style.display==''){
24
    sectionDiv.style.display='block';
25
    button.src='open.gif';
26
  }else{
27
    sectionDiv.style.display='none';
28
    button.src='closed.gif';
29
  }
30
}
31
function initDynSections(){
32
  var divs=document.getElementsByTagName('div');
33
  var sectionCounter=1;
34
  for(var i=0;i<divs.length-1;i++){
35
    if(divs[i].className=='dynheader'&&divs[i+1].className=='dynsection'){
36
      var header=divs[i];
37
      var section=divs[i+1];
38
      var button=header.firstChild;
39
      if (button!='IMG'){
40
        divs[i].insertBefore(document.createTextNode(' '),divs[i].firstChild);
41
        button=document.createElement('img');
42
        divs[i].insertBefore(button,divs[i].firstChild);
43
      }
44
      header.style.cursor='pointer';
45
      header.onclick=changeDisplayState;
46
      header.id='dynheader'+sectionCounter;
47
      button.src='closed.gif';
48
      section.id='dynsection'+sectionCounter;
49
      section.style.display='none';
50
      section.style.marginLeft='14px';
51
      sectionCounter++;
52
    }
53
  }
54
}
55
window.onload = initDynSections;
56
-->
57
</script>
58
<div class="navigation" id="top">
59
  <div class="tabs">
60
    <ul>
61
      <li><a href="index.html"><span>Main&nbsp;Page</span></a></li>
62
      <li><a href="pages.html"><span>Related&nbsp;Pages</span></a></li>
63
      <li><a href="annotated.html"><span>Classes</span></a></li>
64
      <li class="current"><a href="files.html"><span>Files</span></a></li>
65
      <li><a href="dirs.html"><span>Directories</span></a></li>
66
      <li>
67
        <div id="MSearchBox" class="MSearchBoxInactive">
68
        <img id="MSearchSelect" src="search/search.png"
69
             onmouseover="return searchBox.OnSearchSelectShow()"
70
             onmouseout="return searchBox.OnSearchSelectHide()"
71
             alt=""/>
72
        <input type="text" id="MSearchField" value="Search" accesskey="S"
73
             onfocus="searchBox.OnSearchFieldFocus(true)"
74
             onblur="searchBox.OnSearchFieldFocus(false)"
75
             onkeyup="searchBox.OnSearchFieldChange(event)"/>
76
        <a id="MSearchClose" href="javascript:searchBox.CloseResultsWindow()"><img id="MSearchCloseImg" border="0" src="search/close.png" alt=""/></a>
77
        </div>
78
      </li>
79
    </ul>
80
  </div>
81
  <div class="tabs">
82
    <ul>
83
      <li><a href="files.html"><span>File&nbsp;List</span></a></li>
84
    </ul>
85
  </div>
86
  <div class="navpath"><a class="el" href="dir_562324e130495ce1321e3e3f14c8d761.html">src</a>
87
  </div>
88
</div>
89
<div class="contents">
90
<h1>cl_syoscb_compare.svh</h1><div class="fragment"><pre class="fragment"><a name="l00001"></a>00001 <span class="comment">/// Class which act as the root of the compare algorithm. It instantiates the chosen compare</span>
91
<a name="l00002"></a>00002 <span class="comment"></span><span class="comment">/// algorithm.</span>
92
<a name="l00003"></a><a class="code" href="classcl__syoscb__compare.html">00003</a> <span class="comment"></span><span class="keyword">class </span><a class="code" href="classcl__syoscb__compare.html" title="Class which act as the root of the compare algorithm.">cl_syoscb_compare</a> <span class="keyword">extends</span> uvm_component;
93
<a name="l00004"></a>00004   <span class="comment">//-------------------------------------</span>
94
<a name="l00005"></a>00005   <span class="comment">// Non randomizable variables</span>
95
<a name="l00006"></a>00006   <span class="comment">//-------------------------------------</span><span class="comment"></span>
96
<a name="l00007"></a>00007 <span class="comment">  /// Handle to the configuration</span>
97
<a name="l00008"></a>00008 <span class="comment"></span>  local <a class="code" href="classcl__syoscb__cfg.html" title="Configuration class for the SyoSil UVM scoreboard.">cl_syoscb_cfg</a> cfg;
98
<a name="l00009"></a>00009 <span class="comment"></span>
99
<a name="l00010"></a>00010 <span class="comment">  /// Handle to the actual compare algorithm to be used</span>
100
<a name="l00011"></a>00011 <span class="comment"></span>  local <a class="code" href="classcl__syoscb__compare__base.html" title="Base class for all comapre algorithms.">cl_syoscb_compare_base</a> compare_algo;
101
<a name="l00012"></a>00012
102
<a name="l00013"></a>00013   <span class="comment">//-------------------------------------</span>
103
<a name="l00014"></a>00014   <span class="comment">// UVM Macros</span>
104
<a name="l00015"></a>00015   <span class="comment">//-------------------------------------</span>
105
<a name="l00016"></a>00016   `uvm_component_utils_begin(cl_syoscb_compare)
106
<a name="l00017"></a>00017     `uvm_field_object(cfg,          UVM_DEFAULT)
107
<a name="l00018"></a>00018     `uvm_field_object(compare_algo, UVM_DEFAULT)
108
<a name="l00019"></a>00019   `uvm_component_utils_end
109
<a name="l00020"></a>00020
110
<a name="l00021"></a>00021   <span class="comment">//-------------------------------------</span>
111
<a name="l00022"></a>00022   <span class="comment">// Constructor</span>
112
<a name="l00023"></a>00023   <span class="comment">//-------------------------------------</span>
113
<a name="l00024"></a>00024   extern function new(string name, uvm_component parent);
114
<a name="l00025"></a>00025
115
<a name="l00026"></a>00026   <span class="comment">//-------------------------------------</span>
116
<a name="l00027"></a>00027   <span class="comment">// UVM Phase methods</span>
117
<a name="l00028"></a>00028   <span class="comment">//-------------------------------------</span>
118
<a name="l00029"></a>00029   extern function void <a class="code" href="classcl__syoscb__compare.html#ab556332caf700e78e9e5e93c1ced4c45" title="Gets the global scoreboard configuration and creates the compare algorithm, e.g....">build_phase</a>(uvm_phase phase);
119
<a name="l00030"></a>00030
120
<a name="l00031"></a>00031   <span class="comment">//-------------------------------------</span>
121
<a name="l00032"></a>00032   <span class="comment">// Class methods</span>
122
<a name="l00033"></a>00033   <span class="comment">//-------------------------------------</span>
123
<a name="l00034"></a>00034  extern function void <a class="code" href="classcl__syoscb__compare.html#ad47df596e22b2a38269dfbaae00ed058" title="Invokes the compare algorithms compare method.">compare</a>();
124
<a name="l00035"></a>00035 endclass : <a class="code" href="classcl__syoscb__compare.html" title="Class which act as the root of the compare algorithm.">cl_syoscb_compare</a>
125
<a name="l00036"></a>00036
126
<a name="l00037"></a>00037 function <a class="code" href="classcl__syoscb__compare.html" title="Class which act as the root of the compare algorithm.">cl_syoscb_compare</a>::new(string name, uvm_component parent);
127
<a name="l00038"></a>00038   super.new(name, parent);
128
<a name="l00039"></a>00039 endfunction : new
129
<a name="l00040"></a>00040 <span class="comment"></span>
130
<a name="l00041"></a>00041 <span class="comment">/// Gets the global scoreboard configuration and creates the compare algorithm, e.g. out-of-order.</span>
131
<a name="l00042"></a><a class="code" href="classcl__syoscb__compare.html#ab556332caf700e78e9e5e93c1ced4c45">00042</a> <span class="comment"></span>function void <a class="code" href="classcl__syoscb__compare.html" title="Class which act as the root of the compare algorithm.">cl_syoscb_compare</a>::<a class="code" href="classcl__syoscb__compare.html#ab556332caf700e78e9e5e93c1ced4c45" title="Gets the global scoreboard configuration and creates the compare algorithm, e.g....">build_phase</a>(uvm_phase phase);
132
<a name="l00043"></a>00043   if (!uvm_config_db #(cl_syoscb_cfg)::get(this, &quot;&quot;, &quot;cfg&quot;, this.cfg)) begin
133
<a name="l00044"></a>00044     `uvm_fatal(&quot;CFG_ERROR&quot;, $sformatf(&quot;[%s]: Configuration object not passed.&quot;, this.cfg.get_scb_name()))
134
<a name="l00045"></a>00045   end
135
<a name="l00046"></a>00046
136
<a name="l00047"></a>00047   this.compare_algo = <a class="code" href="classcl__syoscb__compare__base.html" title="Base class for all comapre algorithms.">cl_syoscb_compare_base</a>::type_id::create(&quot;compare_algo&quot;, this);
137
<a name="l00048"></a>00048   this.compare_algo.set_cfg(this.cfg);
138
<a name="l00049"></a>00049 endfunction
139
<a name="l00050"></a>00050 <span class="comment"></span>
140
<a name="l00051"></a>00051 <span class="comment">/// Invokes the compare algorithms compare method. </span>
141
<a name="l00052"></a><a class="code" href="classcl__syoscb__compare.html#ad47df596e22b2a38269dfbaae00ed058">00052</a> <span class="comment"></span>function void <a class="code" href="classcl__syoscb__compare.html" title="Class which act as the root of the compare algorithm.">cl_syoscb_compare</a>::<a class="code" href="classcl__syoscb__compare.html#ad47df596e22b2a38269dfbaae00ed058" title="Invokes the compare algorithms compare method.">compare</a>();
142
<a name="l00053"></a>00053   this.compare_algo.<a class="code" href="classcl__syoscb__compare.html#ad47df596e22b2a38269dfbaae00ed058" title="Invokes the compare algorithms compare method.">compare</a>();
143
<a name="l00054"></a>00054 endfunction : <a class="code" href="classcl__syoscb__compare.html#ad47df596e22b2a38269dfbaae00ed058" title="Invokes the compare algorithms compare method.">compare</a>
144
</pre></div></div>
145
<!--- window showing the filter options -->
146
<div id="MSearchSelectWindow"
147
     onmouseover="return searchBox.OnSearchSelectShow()"
148
     onmouseout="return searchBox.OnSearchSelectHide()"
149
     onkeydown="return searchBox.OnSearchSelectKey(event)">
150
<a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(0)"><span class="SelectionMark">&nbsp;</span>All</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(1)"><span class="SelectionMark">&nbsp;</span>Classes</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(2)"><span class="SelectionMark">&nbsp;</span>Functions</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(3)"><span class="SelectionMark">&nbsp;</span>Variables</a></div>
151
 
152
<!-- iframe showing the search results (closed by default) -->
153
<div id="MSearchResultsWindow">
154
<iframe src="" frameborder="0"
155
        name="MSearchResults" id="MSearchResults">
156
</iframe>
157
</div>
158
 
159
<!--*************************************************************************-->
160
<!-- $Id: idv_dox_footer.html 136 2010-05-31 19:13:27Z seanoboyle $          -->
161
<!--*************************************************************************-->
162
<!--   This program is free software: you can redistribute it and/or modify  -->
163
<!--   it under the terms of the GNU General Public License as published by  -->
164
<!--   the Free Software Foundation, either version 3 of the License, or     -->
165
<!--   (at your option) any later version.                                   -->
166
<!--                                                                         -->
167
<!--   This program is distributed in the hope that it will be useful,       -->
168
<!--   but WITHOUT ANY WARRANTY; without even the implied warranty of        -->
169
<!--   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the         -->
170
<!--   GNU General Public License for more details.                          -->
171
<!--                                                                         -->
172
<!--   You should have received a copy of the GNU General Public License     -->
173
<!--   along with this program.  If not, see http://www.gnu.org/licenses/.   -->
174
<!--                                                                         -->
175
<!--*************************************************************************-->
176
<!-- Title:        IDV Doxygen Footer File                                   -->
177
<!-- Description:  This file is a doxygen footer with the IDV logo and a     -->
178
<!--               and a reference to the GNU FDL License.                   -->
179
<!--                                                                         -->
180
<!-- Original Author: Sean O'Boyle                                           -->
181
<!-- Contact:         seanoboyle@intelligentdv.com                           -->
182
<!-- Company:         Intelligent Design Verification                        -->
183
<!-- Company URL:     http://intelligentdv.com                               -->
184
<!--                                                                         -->
185
<!-- Download the most recent version here:                                  -->
186
<!--                  http://intelligentdv.com/downloads                     -->
187
<!--                                                                         -->
188
<!-- File Bugs Here:  http://bugs.intelligentdv.com                          -->
189
<!--        Project:  DoxygenFilterSV                                        -->
190
<!--                                                                         -->
191
<!-- File: idv_dox_header.xml                                                -->
192
<!-- $LastChangedBy: seanoboyle $                                            -->
193
<!-- $LastChangedDate: 2010-05-31 12:13:27 -0700 (Mon, 31 May 2010) $        -->
194
<!-- $LastChangedRevision: 136 $                                             -->
195
<!--                                                                         -->
196
<!--*************************************************************************-->
197
 
198
<br>
199
<table border="1" width = "100%">
200
  <tr>
201
    <td width = "20%">
202
     <img src="syosil.jpg">
203
    </td>
204
    <td width = "60%">
205
       <address style="text-align: center;">
206
       Project: SyoSil ApS UVM Scoreboard, Revision: 1.0.2.5<br>
207
       <br>
208
       Copyright 2014-2015 SyoSil ApS<br>
209
       All Rights Reserved Worldwide<br>
210
       <br>
211
      Licensed under the Apache License, Version 2.0 (the "License"); you may not
212
      use this file except in compliance with the License.  You may obtain a copy of
213
      the License at<br>
214
      <br>
215
       <a href="http://www.apache.org/licenses/LICENSE-2.0">http://www.apache.org/licenses/LICENSE-2.0</a><br>
216
      <br>
217
      Unless required by applicable law or agreed to in writing, software distributed under the License is
218
      distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
219
      implied. See the License for the specific language governing permissions and limitations under
220
      the License.
221
      </address>
222
    </td>
223
    <td width = "20%">
224
      <address style="text-align: right;"><small>
225
      <a href="http://www.doxygen.org/index.html"><img src="doxygen.png" alt="doxygen" align="middle" border="0"></a><br>
226
      <a href="http://www.doxygen.org/index.html">Doxygen</a> Version: 1.6.1<br>
227
      <a href="http://www.intelligentdv.com/index.html">IDV SV Filter</a> Version: 2.6.2<br>
228
      Sat Nov 28 05:41:54 2015</small></address>
229
   </td>
230
  </tr>
231
</table>
232
<address style="text-align: left;"><small>
233
Find a documentation bug?  Report bugs to: <a href="http://bugs.intelligentdv.com/">bugs.intelligentdv.com</a> Project: DoxygenFilterSV
234
</small></address>
235
</body>
236
</html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.