OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [uvm_syoscb/] [docs/] [html/] [cl__syoscb__queue__iterator__base_8svh_source.html] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
<!-- This comment will put IE 6, 7 and 8 in quirks mode -->
2
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
3
<html xmlns="http://www.w3.org/1999/xhtml">
4
<head>
5
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
6
<title>SyoSil ApS UVM Scoreboard: cl_syoscb_queue_iterator_base.svh Source File</title>
7
<link href="tabs.css" rel="stylesheet" type="text/css"/>
8
<link href="search/search.css" rel="stylesheet" type="text/css"/>
9
<script type="text/javaScript" src="search/search.js"></script>
10
<link href="doxygen.css" rel="stylesheet" type="text/css"/>
11
</head>
12
<body onload='searchBox.OnSelectItem(0);'>
13
<!-- Generated by Doxygen 1.6.1 -->
14
<script type="text/javascript"><!--
15
var searchBox = new SearchBox("searchBox", "search",false,'Search');
16
--></script>
17
<script type="text/javascript">
18
<!--
19
function changeDisplayState (e){
20
  var num=this.id.replace(/[^[0-9]/g,'');
21
  var button=this.firstChild;
22
  var sectionDiv=document.getElementById('dynsection'+num);
23
  if (sectionDiv.style.display=='none'||sectionDiv.style.display==''){
24
    sectionDiv.style.display='block';
25
    button.src='open.gif';
26
  }else{
27
    sectionDiv.style.display='none';
28
    button.src='closed.gif';
29
  }
30
}
31
function initDynSections(){
32
  var divs=document.getElementsByTagName('div');
33
  var sectionCounter=1;
34
  for(var i=0;i<divs.length-1;i++){
35
    if(divs[i].className=='dynheader'&&divs[i+1].className=='dynsection'){
36
      var header=divs[i];
37
      var section=divs[i+1];
38
      var button=header.firstChild;
39
      if (button!='IMG'){
40
        divs[i].insertBefore(document.createTextNode(' '),divs[i].firstChild);
41
        button=document.createElement('img');
42
        divs[i].insertBefore(button,divs[i].firstChild);
43
      }
44
      header.style.cursor='pointer';
45
      header.onclick=changeDisplayState;
46
      header.id='dynheader'+sectionCounter;
47
      button.src='closed.gif';
48
      section.id='dynsection'+sectionCounter;
49
      section.style.display='none';
50
      section.style.marginLeft='14px';
51
      sectionCounter++;
52
    }
53
  }
54
}
55
window.onload = initDynSections;
56
-->
57
</script>
58
<div class="navigation" id="top">
59
  <div class="tabs">
60
    <ul>
61
      <li><a href="index.html"><span>Main&nbsp;Page</span></a></li>
62
      <li><a href="pages.html"><span>Related&nbsp;Pages</span></a></li>
63
      <li><a href="annotated.html"><span>Classes</span></a></li>
64
      <li class="current"><a href="files.html"><span>Files</span></a></li>
65
      <li><a href="dirs.html"><span>Directories</span></a></li>
66
      <li>
67
        <div id="MSearchBox" class="MSearchBoxInactive">
68
        <img id="MSearchSelect" src="search/search.png"
69
             onmouseover="return searchBox.OnSearchSelectShow()"
70
             onmouseout="return searchBox.OnSearchSelectHide()"
71
             alt=""/>
72
        <input type="text" id="MSearchField" value="Search" accesskey="S"
73
             onfocus="searchBox.OnSearchFieldFocus(true)"
74
             onblur="searchBox.OnSearchFieldFocus(false)"
75
             onkeyup="searchBox.OnSearchFieldChange(event)"/>
76
        <a id="MSearchClose" href="javascript:searchBox.CloseResultsWindow()"><img id="MSearchCloseImg" border="0" src="search/close.png" alt=""/></a>
77
        </div>
78
      </li>
79
    </ul>
80
  </div>
81
  <div class="tabs">
82
    <ul>
83
      <li><a href="files.html"><span>File&nbsp;List</span></a></li>
84
    </ul>
85
  </div>
86
  <div class="navpath"><a class="el" href="dir_562324e130495ce1321e3e3f14c8d761.html">src</a>
87
  </div>
88
</div>
89
<div class="contents">
90
<h1>cl_syoscb_queue_iterator_base.svh</h1><div class="fragment"><pre class="fragment"><a name="l00001"></a>00001 <span class="comment">/// Queue iterator base class defining the iterator API used for iterating queues.</span>
91
<a name="l00002"></a><a class="code" href="classcl__syoscb__queue__iterator__base.html">00002</a> <span class="comment"></span><span class="keyword">class </span><a class="code" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues.">cl_syoscb_queue_iterator_base</a> <span class="keyword">extends</span> uvm_object;
92
<a name="l00003"></a>00003   <span class="comment">//-------------------------------------</span>
93
<a name="l00004"></a>00004   <span class="comment">// Non randomizable variables</span>
94
<a name="l00005"></a>00005   <span class="comment">//-------------------------------------</span><span class="comment"></span>
95
<a name="l00006"></a>00006 <span class="comment">  /// The owner of this iterator</span>
96
<a name="l00007"></a><a class="code" href="classcl__syoscb__queue__iterator__base.html#a7d2e751dbeb1921dfe580d61548f5aa2">00007</a> <span class="comment"></span>  <span class="keyword">protected</span> <a class="code" href="classcl__syoscb__queue.html" title="Class which base concet of a queue.">cl_syoscb_queue</a> <a class="code" href="classcl__syoscb__queue__iterator__base.html#a7d2e751dbeb1921dfe580d61548f5aa2" title="The owner of this iterator.">owner</a>;
97
<a name="l00008"></a>00008   <span class="comment"></span>
98
<a name="l00009"></a>00009 <span class="comment">  /// Current position in the queue</span>
99
<a name="l00010"></a><a class="code" href="classcl__syoscb__queue__iterator__base.html#a147a9ac82095ec90505f5c260626ff13">00010</a> <span class="comment"></span>  <span class="keyword">protected</span> int unsigned <a class="code" href="classcl__syoscb__queue__iterator__base.html#a147a9ac82095ec90505f5c260626ff13" title="Current position in the queue.">position</a> = 0;
100
<a name="l00011"></a>00011
101
<a name="l00012"></a>00012   <span class="comment">// Local handle to the SCB sfg </span>
102
<a name="l00013"></a>00013   <span class="keyword">protected</span> <a class="code" href="classcl__syoscb__cfg.html" title="Configuration class for the SyoSil UVM scoreboard.">cl_syoscb_cfg</a> cfg;
103
<a name="l00014"></a>00014
104
<a name="l00015"></a>00015   <span class="comment">//-------------------------------------</span>
105
<a name="l00016"></a>00016   <span class="comment">// UVM Macros</span>
106
<a name="l00017"></a>00017   <span class="comment">//-------------------------------------</span>
107
<a name="l00018"></a>00018   `uvm_object_utils_begin(cl_syoscb_queue_iterator_base)
108
<a name="l00019"></a>00019     `uvm_field_object(owner, UVM_DEFAULT)
109
<a name="l00020"></a>00020     `uvm_field_int(position, UVM_DEFAULT)
110
<a name="l00021"></a>00021     `uvm_field_object(cfg,   UVM_DEFAULT)
111
<a name="l00022"></a>00022   `uvm_object_utils_end
112
<a name="l00023"></a>00023
113
<a name="l00024"></a>00024     function new(string name = &quot;cl_syoscb_queue_iterator_base&quot;);
114
<a name="l00025"></a>00025       super.new(name);
115
<a name="l00026"></a>00026     endfunction: new
116
<a name="l00027"></a>00027
117
<a name="l00028"></a>00028   <span class="comment">//-------------------------------------</span>
118
<a name="l00029"></a>00029   <span class="comment">// Iterator API</span>
119
<a name="l00030"></a>00030   <span class="comment">//-------------------------------------</span>
120
<a name="l00031"></a>00031   extern <span class="keyword">virtual</span> function bit <a class="code" href="classcl__syoscb__queue__iterator__base.html#a70293656ff0720b611c94eda8cfe0ce5" title="Iterator API: Moves the iterator to the next item in the queue.">next</a>();                           <span class="comment">// Base &apos;next&apos; function. Moves iterator to next item in queue</span>
121
<a name="l00032"></a>00032   extern <span class="keyword">virtual</span> function bit <a class="code" href="classcl__syoscb__queue__iterator__base.html#afc2b8985620b740ee74ff7888df9f76f" title="Iterator API: Moves the iterator to the previous item in the queue.">previous</a>();                       <span class="comment">// Base &apos;previous&apos; function. Moves iterator to previous item in queue</span>
122
<a name="l00033"></a>00033   extern <span class="keyword">virtual</span> function bit <a class="code" href="classcl__syoscb__queue__iterator__base.html#a7f9050d9599104fd1f0c74764733c9a8" title="Iterator API: Moves the iterator to the first item in the queue.">first</a>();                          <span class="comment">// Base &apos;first&apos; function. Moves iterator to first item in queue</span>
123
<a name="l00034"></a>00034   extern <span class="keyword">virtual</span> function bit <a class="code" href="classcl__syoscb__queue__iterator__base.html#af89041d1ae55146e3a9ff2f68d861ece" title="Iterator API: Moves the iterator to the last item in the queue.">last</a>();                           <span class="comment">// Base &apos;last&apos; function. Moves iterator to last item in queue</span>
124
<a name="l00035"></a>00035   extern <span class="keyword">virtual</span> function int unsigned <a class="code" href="classcl__syoscb__queue__iterator__base.html#a52cf9b515b136ae9a24fd04cda886a8f" title="Iterator API: Returns the current index">get_idx</a>();               <span class="comment">// Base &apos;get_idx&apos; function. Returns current iterator position</span>
125
<a name="l00036"></a>00036   extern <span class="keyword">virtual</span> function <a class="code" href="classcl__syoscb__item.html" title="The UVM scoreboard item.">cl_syoscb_item</a> <a class="code" href="classcl__syoscb__queue__iterator__base.html#a53fea126af4b7578593ced59a94613f7" title="Iterator API: Returns the current cl_syoscb_item object at the current index">get_item</a>();            <span class="comment">// Base &apos;get_item&apos; function. Returns item at current iterator position</span>
126
<a name="l00037"></a>00037   extern <span class="keyword">virtual</span> function bit <a class="code" href="classcl__syoscb__queue__iterator__base.html#ade166e2730e4d2b53b4b17042bb15df1" title="Iterator API: Returns 1&amp;#39;b0 as long as the iterator has not reached the end.">is_done</a>();                        <span class="comment">// Base &apos;is_done&apos; function. Returns 1 if iterator is at the end of the queue, otherwise 0</span>
127
<a name="l00038"></a>00038   extern <span class="keyword">protected</span> function <a class="code" href="classcl__syoscb__queue.html" title="Class which base concet of a queue.">cl_syoscb_queue</a> <a class="code" href="classcl__syoscb__queue__iterator__base.html#a2e37464d479306e3998012cb7c75a9b1" title="Iterator API: Returns releated queue">get_queue</a>();        <span class="comment">// Returns the queue that this iterator is associated with</span>
128
<a name="l00039"></a>00039   extern <span class="keyword">virtual</span> function bit <a class="code" href="classcl__syoscb__queue__iterator__base.html#a8249c76b049459abdfb0341b6ab76e66" title="Iterator API: Sets releated queue">set_queue</a>(cl_syoscb_queue owner); <span class="comment">// Sets the queue that this iterator is associated with</span>
129
<a name="l00040"></a>00040 endclass: <a class="code" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues.">cl_syoscb_queue_iterator_base</a>
130
<a name="l00041"></a>00041 <span class="comment"></span>
131
<a name="l00042"></a>00042 <span class="comment">/// &lt;b&gt;Iterator API:&lt;/b&gt; Moves the iterator to the next item in the queue.</span>
132
<a name="l00043"></a>00043 <span class="comment">/// It shall return 1&apos;b0 if there is no next item, e.g. when it is either empty or</span>
133
<a name="l00044"></a>00044 <span class="comment">/// the iterator has reached the end of the queue. </span>
134
<a name="l00045"></a>00045 <span class="comment"></span>function bit <a class="code" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues.">cl_syoscb_queue_iterator_base</a>::<a class="code" href="classcl__syoscb__queue__iterator__base.html#a70293656ff0720b611c94eda8cfe0ce5" title="Iterator API: Moves the iterator to the next item in the queue.">next</a>();
135
<a name="l00046"></a>00046   `uvm_fatal(&quot;IMPL_ERROR&quot;, $sformatf(&quot;cl_syoscb_queue_iterator_base::next() *MUST* be overwritten&quot;));
136
<a name="l00047"></a>00047   return(1&apos;b0);
137
<a name="l00048"></a>00048 endfunction
138
<a name="l00049"></a>00049 <span class="comment"></span>
139
<a name="l00050"></a>00050 <span class="comment">/// &lt;b&gt;Iterator API:&lt;/b&gt;  Moves the iterator to the previous item in the queue.</span>
140
<a name="l00051"></a>00051 <span class="comment">/// It shall return 1&apos;b0 if there is no previous item, e.g. when it is either empty or</span>
141
<a name="l00052"></a>00052 <span class="comment">/// the iterator has reached the very beginning of the queue. </span>
142
<a name="l00053"></a><a class="code" href="classcl__syoscb__queue__iterator__base.html#afc2b8985620b740ee74ff7888df9f76f">00053</a> <span class="comment"></span>function bit <a class="code" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues.">cl_syoscb_queue_iterator_base</a>::<a class="code" href="classcl__syoscb__queue__iterator__base.html#afc2b8985620b740ee74ff7888df9f76f" title="Iterator API: Moves the iterator to the previous item in the queue.">previous</a>();
143
<a name="l00054"></a>00054   `uvm_fatal(&quot;IMPL_ERROR&quot;, $sformatf(&quot;cl_syoscb_queue_iterator_base::previous() *MUST* be overwritten&quot;));
144
<a name="l00055"></a>00055   return(1&apos;b0);
145
<a name="l00056"></a>00056 endfunction
146
<a name="l00057"></a>00057 <span class="comment"></span>
147
<a name="l00058"></a>00058 <span class="comment">/// &lt;b&gt;Iterator API:&lt;/b&gt;  Moves the iterator to the first item in the queue.</span>
148
<a name="l00059"></a>00059 <span class="comment">/// It shall return 1&apos;b0 if there is no first item (Queue is empty). </span>
149
<a name="l00060"></a><a class="code" href="classcl__syoscb__queue__iterator__base.html#a7f9050d9599104fd1f0c74764733c9a8">00060</a> <span class="comment"></span>function bit <a class="code" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues.">cl_syoscb_queue_iterator_base</a>::<a class="code" href="classcl__syoscb__queue__iterator__base.html#a7f9050d9599104fd1f0c74764733c9a8" title="Iterator API: Moves the iterator to the first item in the queue.">first</a>();
150
<a name="l00061"></a>00061   `uvm_fatal(&quot;IMPL_ERROR&quot;, $sformatf(&quot;cl_syoscb_queue_iterator_base::first() *MUST* be overwritten&quot;));
151
<a name="l00062"></a>00062   return(1&apos;b0);
152
<a name="l00063"></a>00063 endfunction
153
<a name="l00064"></a>00064 <span class="comment"></span>
154
<a name="l00065"></a>00065 <span class="comment">/// &lt;b&gt;Iterator API:&lt;/b&gt;  Moves the iterator to the last item in the queue.</span>
155
<a name="l00066"></a>00066 <span class="comment">/// It shall return 1&apos;b0 if there is no last item (Queue is empty). </span>
156
<a name="l00067"></a><a class="code" href="classcl__syoscb__queue__iterator__base.html#af89041d1ae55146e3a9ff2f68d861ece">00067</a> <span class="comment"></span>function bit <a class="code" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues.">cl_syoscb_queue_iterator_base</a>::<a class="code" href="classcl__syoscb__queue__iterator__base.html#af89041d1ae55146e3a9ff2f68d861ece" title="Iterator API: Moves the iterator to the last item in the queue.">last</a>();
157
<a name="l00068"></a>00068   `uvm_fatal(&quot;IMPL_ERROR&quot;, $sformatf(&quot;cl_syoscb_queue_iterator_base::last() *MUST* be overwritten&quot;));
158
<a name="l00069"></a>00069   return(1&apos;b0);
159
<a name="l00070"></a>00070 endfunction
160
<a name="l00071"></a>00071 <span class="comment"></span>
161
<a name="l00072"></a>00072 <span class="comment">/// &lt;b&gt;Iterator API:&lt;/b&gt;  Returns the current index</span>
162
<a name="l00073"></a><a class="code" href="classcl__syoscb__queue__iterator__base.html#a52cf9b515b136ae9a24fd04cda886a8f">00073</a> <span class="comment"></span>function int unsigned <a class="code" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues.">cl_syoscb_queue_iterator_base</a>::<a class="code" href="classcl__syoscb__queue__iterator__base.html#a52cf9b515b136ae9a24fd04cda886a8f" title="Iterator API: Returns the current index">get_idx</a>();
163
<a name="l00074"></a>00074   `uvm_fatal(&quot;IMPL_ERROR&quot;, $sformatf(&quot;cl_syoscb_queue_iterator_base::get_idx() *MUST* be overwritten&quot;));
164
<a name="l00075"></a>00075   return(0);
165
<a name="l00076"></a>00076 endfunction
166
<a name="l00077"></a>00077 <span class="comment"></span>
167
<a name="l00078"></a>00078 <span class="comment">/// &lt;b&gt;Iterator API:&lt;/b&gt;  Returns the current cl_syoscb_item object at the current index</span>
168
<a name="l00079"></a><a class="code" href="classcl__syoscb__queue__iterator__base.html#a53fea126af4b7578593ced59a94613f7">00079</a> <span class="comment"></span>function <a class="code" href="classcl__syoscb__item.html" title="The UVM scoreboard item.">cl_syoscb_item</a> <a class="code" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues.">cl_syoscb_queue_iterator_base</a>::<a class="code" href="classcl__syoscb__queue__iterator__base.html#a53fea126af4b7578593ced59a94613f7" title="Iterator API: Returns the current cl_syoscb_item object at the current index">get_item</a>();
169
<a name="l00080"></a>00080   `uvm_fatal(&quot;IMPL_ERROR&quot;, $sformatf(&quot;cl_syoscb_queue_iterator_base::get_item() *MUST* be overwritten&quot;));
170
<a name="l00081"></a>00081   return(null);
171
<a name="l00082"></a>00082 endfunction
172
<a name="l00083"></a>00083 <span class="comment"></span>
173
<a name="l00084"></a>00084 <span class="comment">/// &lt;b&gt;Iterator API:&lt;/b&gt;  Returns 1&apos;b0 as long as the iterator has not reached the end.</span>
174
<a name="l00085"></a>00085 <span class="comment">/// When the iterator has reached the end then it returns 1&apos;b1.</span>
175
<a name="l00086"></a><a class="code" href="classcl__syoscb__queue__iterator__base.html#ade166e2730e4d2b53b4b17042bb15df1">00086</a> <span class="comment"></span>function bit <a class="code" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues.">cl_syoscb_queue_iterator_base</a>::<a class="code" href="classcl__syoscb__queue__iterator__base.html#ade166e2730e4d2b53b4b17042bb15df1" title="Iterator API: Returns 1&amp;#39;b0 as long as the iterator has not reached the end.">is_done</a>();
176
<a name="l00087"></a>00087   `uvm_fatal(&quot;IMPL_ERROR&quot;, $sformatf(&quot;cl_syoscb_queue_iterator_base::is_done() *MUST* be overwritten&quot;));
177
<a name="l00088"></a>00088   return(1&apos;b0);
178
<a name="l00089"></a>00089 endfunction
179
<a name="l00090"></a>00090 <span class="comment"></span>
180
<a name="l00091"></a>00091 <span class="comment">/// &lt;b&gt;Iterator API:&lt;/b&gt;  Returns releated queue</span>
181
<a name="l00092"></a><a class="code" href="classcl__syoscb__queue__iterator__base.html#a2e37464d479306e3998012cb7c75a9b1">00092</a> <span class="comment"></span>function <a class="code" href="classcl__syoscb__queue.html" title="Class which base concet of a queue.">cl_syoscb_queue</a> <a class="code" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues.">cl_syoscb_queue_iterator_base</a>::<a class="code" href="classcl__syoscb__queue__iterator__base.html#a2e37464d479306e3998012cb7c75a9b1" title="Iterator API: Returns releated queue">get_queue</a>();
182
<a name="l00093"></a>00093   if(this.owner == null) begin
183
<a name="l00094"></a>00094     <span class="comment">// An iterator should always have an associated queue</span>
184
<a name="l00095"></a>00095     `uvm_error(&quot;QUEUE_ERROR&quot;, $sformatf(&quot;Unable to find queue associated with iterator %s&quot;, this.get_name()));
185
<a name="l00096"></a>00096     return null;
186
<a name="l00097"></a>00097   end else begin
187
<a name="l00098"></a>00098     return this.<a class="code" href="classcl__syoscb__queue__iterator__base.html#a7d2e751dbeb1921dfe580d61548f5aa2" title="The owner of this iterator.">owner</a>;
188
<a name="l00099"></a>00099   end
189
<a name="l00100"></a>00100 endfunction: <a class="code" href="classcl__syoscb__queue__iterator__base.html#a2e37464d479306e3998012cb7c75a9b1" title="Iterator API: Returns releated queue">get_queue</a>
190
<a name="l00101"></a>00101 <span class="comment"></span>
191
<a name="l00102"></a>00102 <span class="comment">/// &lt;b&gt;Iterator API:&lt;/b&gt;  Sets releated queue</span>
192
<a name="l00103"></a><a class="code" href="classcl__syoscb__queue__iterator__base.html#a8249c76b049459abdfb0341b6ab76e66">00103</a> <span class="comment"></span>function bit <a class="code" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues.">cl_syoscb_queue_iterator_base</a>::<a class="code" href="classcl__syoscb__queue__iterator__base.html#a8249c76b049459abdfb0341b6ab76e66" title="Iterator API: Sets releated queue">set_queue</a>(cl_syoscb_queue owner);
193
<a name="l00104"></a>00104   `uvm_fatal(&quot;IMPL_ERROR&quot;, $sformatf(&quot;cl_syoscb_queue_iterator_base::set_queue() *MUST* be overwritten&quot;));
194
<a name="l00105"></a>00105   return(1&apos;b0);
195
<a name="l00106"></a>00106 endfunction
196
</pre></div></div>
197
<!--- window showing the filter options -->
198
<div id="MSearchSelectWindow"
199
     onmouseover="return searchBox.OnSearchSelectShow()"
200
     onmouseout="return searchBox.OnSearchSelectHide()"
201
     onkeydown="return searchBox.OnSearchSelectKey(event)">
202
<a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(0)"><span class="SelectionMark">&nbsp;</span>All</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(1)"><span class="SelectionMark">&nbsp;</span>Classes</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(2)"><span class="SelectionMark">&nbsp;</span>Functions</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(3)"><span class="SelectionMark">&nbsp;</span>Variables</a></div>
203
 
204
<!-- iframe showing the search results (closed by default) -->
205
<div id="MSearchResultsWindow">
206
<iframe src="" frameborder="0"
207
        name="MSearchResults" id="MSearchResults">
208
</iframe>
209
</div>
210
 
211
<!--*************************************************************************-->
212
<!-- $Id: idv_dox_footer.html 136 2010-05-31 19:13:27Z seanoboyle $          -->
213
<!--*************************************************************************-->
214
<!--   This program is free software: you can redistribute it and/or modify  -->
215
<!--   it under the terms of the GNU General Public License as published by  -->
216
<!--   the Free Software Foundation, either version 3 of the License, or     -->
217
<!--   (at your option) any later version.                                   -->
218
<!--                                                                         -->
219
<!--   This program is distributed in the hope that it will be useful,       -->
220
<!--   but WITHOUT ANY WARRANTY; without even the implied warranty of        -->
221
<!--   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the         -->
222
<!--   GNU General Public License for more details.                          -->
223
<!--                                                                         -->
224
<!--   You should have received a copy of the GNU General Public License     -->
225
<!--   along with this program.  If not, see http://www.gnu.org/licenses/.   -->
226
<!--                                                                         -->
227
<!--*************************************************************************-->
228
<!-- Title:        IDV Doxygen Footer File                                   -->
229
<!-- Description:  This file is a doxygen footer with the IDV logo and a     -->
230
<!--               and a reference to the GNU FDL License.                   -->
231
<!--                                                                         -->
232
<!-- Original Author: Sean O'Boyle                                           -->
233
<!-- Contact:         seanoboyle@intelligentdv.com                           -->
234
<!-- Company:         Intelligent Design Verification                        -->
235
<!-- Company URL:     http://intelligentdv.com                               -->
236
<!--                                                                         -->
237
<!-- Download the most recent version here:                                  -->
238
<!--                  http://intelligentdv.com/downloads                     -->
239
<!--                                                                         -->
240
<!-- File Bugs Here:  http://bugs.intelligentdv.com                          -->
241
<!--        Project:  DoxygenFilterSV                                        -->
242
<!--                                                                         -->
243
<!-- File: idv_dox_header.xml                                                -->
244
<!-- $LastChangedBy: seanoboyle $                                            -->
245
<!-- $LastChangedDate: 2010-05-31 12:13:27 -0700 (Mon, 31 May 2010) $        -->
246
<!-- $LastChangedRevision: 136 $                                             -->
247
<!--                                                                         -->
248
<!--*************************************************************************-->
249
 
250
<br>
251
<table border="1" width = "100%">
252
  <tr>
253
    <td width = "20%">
254
     <img src="syosil.jpg">
255
    </td>
256
    <td width = "60%">
257
       <address style="text-align: center;">
258
       Project: SyoSil ApS UVM Scoreboard, Revision: 1.0.2.5<br>
259
       <br>
260
       Copyright 2014-2015 SyoSil ApS<br>
261
       All Rights Reserved Worldwide<br>
262
       <br>
263
      Licensed under the Apache License, Version 2.0 (the "License"); you may not
264
      use this file except in compliance with the License.  You may obtain a copy of
265
      the License at<br>
266
      <br>
267
       <a href="http://www.apache.org/licenses/LICENSE-2.0">http://www.apache.org/licenses/LICENSE-2.0</a><br>
268
      <br>
269
      Unless required by applicable law or agreed to in writing, software distributed under the License is
270
      distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
271
      implied. See the License for the specific language governing permissions and limitations under
272
      the License.
273
      </address>
274
    </td>
275
    <td width = "20%">
276
      <address style="text-align: right;"><small>
277
      <a href="http://www.doxygen.org/index.html"><img src="doxygen.png" alt="doxygen" align="middle" border="0"></a><br>
278
      <a href="http://www.doxygen.org/index.html">Doxygen</a> Version: 1.6.1<br>
279
      <a href="http://www.intelligentdv.com/index.html">IDV SV Filter</a> Version: 2.6.2<br>
280
      Sat Nov 28 05:41:54 2015</small></address>
281
   </td>
282
  </tr>
283
</table>
284
<address style="text-align: left;"><small>
285
Find a documentation bug?  Report bugs to: <a href="http://bugs.intelligentdv.com/">bugs.intelligentdv.com</a> Project: DoxygenFilterSV
286
</small></address>
287
</body>
288
</html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.