OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [uvm_syoscb/] [docs/] [html/] [classcl__syoscb.html] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
<!-- This comment will put IE 6, 7 and 8 in quirks mode -->
2
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
3
<html xmlns="http://www.w3.org/1999/xhtml">
4
<head>
5
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
6
<title>SyoSil ApS UVM Scoreboard: cl_syoscb Class Reference</title>
7
<link href="tabs.css" rel="stylesheet" type="text/css"/>
8
<link href="search/search.css" rel="stylesheet" type="text/css"/>
9
<script type="text/javaScript" src="search/search.js"></script>
10
<link href="doxygen.css" rel="stylesheet" type="text/css"/>
11
</head>
12
<body onload='searchBox.OnSelectItem(0);'>
13
<!-- Generated by Doxygen 1.6.1 -->
14
<script type="text/javascript"><!--
15
var searchBox = new SearchBox("searchBox", "search",false,'Search');
16
--></script>
17
<script type="text/javascript">
18
<!--
19
function changeDisplayState (e){
20
  var num=this.id.replace(/[^[0-9]/g,'');
21
  var button=this.firstChild;
22
  var sectionDiv=document.getElementById('dynsection'+num);
23
  if (sectionDiv.style.display=='none'||sectionDiv.style.display==''){
24
    sectionDiv.style.display='block';
25
    button.src='open.gif';
26
  }else{
27
    sectionDiv.style.display='none';
28
    button.src='closed.gif';
29
  }
30
}
31
function initDynSections(){
32
  var divs=document.getElementsByTagName('div');
33
  var sectionCounter=1;
34
  for(var i=0;i<divs.length-1;i++){
35
    if(divs[i].className=='dynheader'&&divs[i+1].className=='dynsection'){
36
      var header=divs[i];
37
      var section=divs[i+1];
38
      var button=header.firstChild;
39
      if (button!='IMG'){
40
        divs[i].insertBefore(document.createTextNode(' '),divs[i].firstChild);
41
        button=document.createElement('img');
42
        divs[i].insertBefore(button,divs[i].firstChild);
43
      }
44
      header.style.cursor='pointer';
45
      header.onclick=changeDisplayState;
46
      header.id='dynheader'+sectionCounter;
47
      button.src='closed.gif';
48
      section.id='dynsection'+sectionCounter;
49
      section.style.display='none';
50
      section.style.marginLeft='14px';
51
      sectionCounter++;
52
    }
53
  }
54
}
55
window.onload = initDynSections;
56
-->
57
</script>
58
<div class="navigation" id="top">
59
  <div class="tabs">
60
    <ul>
61
      <li><a href="index.html"><span>Main&nbsp;Page</span></a></li>
62
      <li><a href="pages.html"><span>Related&nbsp;Pages</span></a></li>
63
      <li class="current"><a href="annotated.html"><span>Classes</span></a></li>
64
      <li><a href="files.html"><span>Files</span></a></li>
65
      <li><a href="dirs.html"><span>Directories</span></a></li>
66
      <li>
67
        <div id="MSearchBox" class="MSearchBoxInactive">
68
        <img id="MSearchSelect" src="search/search.png"
69
             onmouseover="return searchBox.OnSearchSelectShow()"
70
             onmouseout="return searchBox.OnSearchSelectHide()"
71
             alt=""/>
72
        <input type="text" id="MSearchField" value="Search" accesskey="S"
73
             onfocus="searchBox.OnSearchFieldFocus(true)"
74
             onblur="searchBox.OnSearchFieldFocus(false)"
75
             onkeyup="searchBox.OnSearchFieldChange(event)"/>
76
        <a id="MSearchClose" href="javascript:searchBox.CloseResultsWindow()"><img id="MSearchCloseImg" border="0" src="search/close.png" alt=""/></a>
77
        </div>
78
      </li>
79
    </ul>
80
  </div>
81
  <div class="tabs">
82
    <ul>
83
      <li><a href="annotated.html"><span>Class&nbsp;List</span></a></li>
84
      <li><a href="classes.html"><span>Class&nbsp;Index</span></a></li>
85
      <li><a href="hierarchy.html"><span>Class&nbsp;Hierarchy</span></a></li>
86
      <li><a href="functions.html"><span>Class&nbsp;Members</span></a></li>
87
    </ul>
88
  </div>
89
</div>
90
<div class="contents">
91
<h1>cl_syoscb Class Reference</h1><!-- doxytag: class="cl_syoscb" -->
92
<p>Top level class implementing the root of the SyoSil UVM scoreboard.
93
<a href="#_details">More...</a></p>
94
<div class="dynheader">
95
Collaboration diagram for cl_syoscb:</div>
96
<div class="dynsection">
97
<div class="center"><img src="classcl__syoscb__coll__graph.png" border="0" usemap="#cl__syoscb_coll__map" alt="Collaboration graph"/></div>
98
<map name="cl__syoscb_coll__map" id="cl__syoscb_coll__map">
99
<area shape="rect" id="node2" href="classcl__syoscb__cfg.html" title="Configuration class for the SyoSil UVM scoreboard." alt="" coords="137,5,251,35"/><area shape="rect" id="node4" href="classcl__syoscb__queue.html" title="Class which base concet of a queue." alt="" coords="41,101,174,131"/><area shape="rect" id="node7" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues." alt="" coords="106,197,338,227"/><area shape="rect" id="node12" href="classcl__syoscb__compare.html" title="Class which act as the root of the compare algorithm." alt="" coords="362,197,514,227"/><area shape="rect" id="node14" href="classcl__syoscb__compare__base.html" title="Base class for all comapre algorithms." alt="" coords="343,101,533,131"/><area shape="rect" id="node18" href="classcl__syoscb__subscriber.html" title="Generic subscriber for the scoreboard." alt="" coords="538,197,698,227"/></map>
100
<center><span class="legend">[<a href="graph_legend.html">legend</a>]</span></center></div>
101
 
102
<p><a href="classcl__syoscb-members.html">List of all members.</a></p>
103
<table border="0" cellpadding="0" cellspacing="0">
104
<tr><td colspan="2"><h2>Public Member Functions</h2></td></tr>
105
<tr><td class="memItemLeft" align="right" valign="top">void&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb.html#aff5b55683b8bb74b5b75f607ac043602">build_phase</a> (uvm_phase phase)</td></tr>
106
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">The build_phase gets the scoreboard configuration and forwards it to the child components (<a class="el" href="classcl__syoscb__queue.html" title="Class which base concet of a queue.">cl_syoscb_queue</a> and <a class="el" href="classcl__syoscb__compare.html" title="Class which act as the root of the compare algorithm.">cl_syoscb_compare</a>).  <a href="#aff5b55683b8bb74b5b75f607ac043602"></a><br/></td></tr>
107
<tr><td class="memItemLeft" align="right" valign="top">void&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb.html#a26c59c91c6fdd22f29a411cba2d5ed8f">add_item</a> (string queue_name, string producer, uvm_sequence_item item)</td></tr>
108
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Method for adding a uvm_sequence_item to a given queue for a given producer.  <a href="#a26c59c91c6fdd22f29a411cba2d5ed8f"></a><br/></td></tr>
109
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="aca64b749ca30f964068a817ad4c152b7"></a><!-- doxytag: member="cl_syoscb::compare" ref="aca64b749ca30f964068a817ad4c152b7" args="()" -->
110
void&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb.html#aca64b749ca30f964068a817ad4c152b7">compare</a> ()</td></tr>
111
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Invokes the compare strategy. <br/></td></tr>
112
<tr><td class="memItemLeft" align="right" valign="top"><a class="el" href="classcl__syoscb__subscriber.html">cl_syoscb_subscriber</a>&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb.html#a1d3983a0946cc4e38f74b249b5bb0e16">get_subscriber</a> (string queue_name, string producer)</td></tr>
113
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Returns a UVM subscriber for a given combination of queue and producer The returned UVM subscriber can then be connected to a UVM monitor or similar which produces transactions which should be scoreboarded.  <a href="#a1d3983a0946cc4e38f74b249b5bb0e16"></a><br/></td></tr>
114
</table>
115
<hr/><a name="_details"></a><h2>Detailed Description</h2>
116
<p>Top level class implementing the root of the SyoSil UVM scoreboard. </p>
117
 
118
<p>Definition at line <a class="el" href="cl__syoscb_8svh_source.html#l00002">2</a> of file <a class="el" href="cl__syoscb_8svh_source.html">cl_syoscb.svh</a>.</p>
119
<hr/><h2>Member Function Documentation</h2>
120
<a class="anchor" id="a26c59c91c6fdd22f29a411cba2d5ed8f"></a><!-- doxytag: member="cl_syoscb::add_item" ref="a26c59c91c6fdd22f29a411cba2d5ed8f" args="(string queue_name, string producer, uvm_sequence_item item)" -->
121
<div class="memitem">
122
<div class="memproto">
123
      <table class="memname">
124
        <tr>
125
          <td class="memname">void cl_syoscb::add_item </td>
126
          <td>(</td>
127
          <td class="paramtype">string&nbsp;</td>
128
          <td class="paramname"> <em>queue_name</em>, </td>
129
        </tr>
130
        <tr>
131
          <td class="paramkey"></td>
132
          <td></td>
133
          <td class="paramtype">string&nbsp;</td>
134
          <td class="paramname"> <em>producer</em>, </td>
135
        </tr>
136
        <tr>
137
          <td class="paramkey"></td>
138
          <td></td>
139
          <td class="paramtype">uvm_sequence_item&nbsp;</td>
140
          <td class="paramname"> <em>item</em></td><td>&nbsp;</td>
141
        </tr>
142
        <tr>
143
          <td></td>
144
          <td>)</td>
145
          <td></td><td></td><td></td>
146
        </tr>
147
      </table>
148
</div>
149
<div class="memdoc">
150
 
151
<p>Method for adding a uvm_sequence_item to a given queue for a given producer. </p>
152
<p>The method will check if the queue and producer exists before adding it to the queue.</p>
153
<p>The uvm_sequence_item will be wrapped by a <a class="el" href="classcl__syoscb__item.html" title="The UVM scoreboard item.">cl_syoscb_item</a> along with some META data Thus, it is the <a class="el" href="classcl__syoscb__item.html" title="The UVM scoreboard item.">cl_syoscb_item</a> which will be added to the queue and not the uvm_sequence_item directly.</p>
154
<p>This ensures that the scoreboard can easily be added to an existing testbench with already defined sequence items etc. </p>
155
 
156
<p>Definition at line <a class="el" href="cl__syoscb_8svh_source.html#l00128">128</a> of file <a class="el" href="cl__syoscb_8svh_source.html">cl_syoscb.svh</a>.</p>
157
 
158
</div>
159
</div>
160
<a class="anchor" id="aff5b55683b8bb74b5b75f607ac043602"></a><!-- doxytag: member="cl_syoscb::build_phase" ref="aff5b55683b8bb74b5b75f607ac043602" args="(uvm_phase phase)" -->
161
<div class="memitem">
162
<div class="memproto">
163
      <table class="memname">
164
        <tr>
165
          <td class="memname">void cl_syoscb::build_phase </td>
166
          <td>(</td>
167
          <td class="paramtype">uvm_phase&nbsp;</td>
168
          <td class="paramname"> <em>phase</em></td>
169
          <td>&nbsp;)&nbsp;</td>
170
          <td></td>
171
        </tr>
172
      </table>
173
</div>
174
<div class="memdoc">
175
 
176
<p>The build_phase gets the scoreboard configuration and forwards it to the child components (<a class="el" href="classcl__syoscb__queue.html" title="Class which base concet of a queue.">cl_syoscb_queue</a> and <a class="el" href="classcl__syoscb__compare.html" title="Class which act as the root of the compare algorithm.">cl_syoscb_compare</a>). </p>
177
<p>Additionally, it creates all of the queues defined in the configuration object. Finally, it also creates the compare strategy via a factory create call. </p>
178
 
179
<p>Definition at line <a class="el" href="cl__syoscb_8svh_source.html#l00057">57</a> of file <a class="el" href="cl__syoscb_8svh_source.html">cl_syoscb.svh</a>.</p>
180
 
181
</div>
182
</div>
183
<a class="anchor" id="a1d3983a0946cc4e38f74b249b5bb0e16"></a><!-- doxytag: member="cl_syoscb::get_subscriber" ref="a1d3983a0946cc4e38f74b249b5bb0e16" args="(string queue_name, string producer)" -->
184
<div class="memitem">
185
<div class="memproto">
186
      <table class="memname">
187
        <tr>
188
          <td class="memname"><a class="el" href="classcl__syoscb__subscriber.html">cl_syoscb_subscriber</a> cl_syoscb::get_subscriber </td>
189
          <td>(</td>
190
          <td class="paramtype">string&nbsp;</td>
191
          <td class="paramname"> <em>queue_name</em>, </td>
192
        </tr>
193
        <tr>
194
          <td class="paramkey"></td>
195
          <td></td>
196
          <td class="paramtype">string&nbsp;</td>
197
          <td class="paramname"> <em>producer</em></td><td>&nbsp;</td>
198
        </tr>
199
        <tr>
200
          <td></td>
201
          <td>)</td>
202
          <td></td><td></td><td></td>
203
        </tr>
204
      </table>
205
</div>
206
<div class="memdoc">
207
 
208
<p>Returns a UVM subscriber for a given combination of queue and producer The returned UVM subscriber can then be connected to a UVM monitor or similar which produces transactions which should be scoreboarded. </p>
209
 
210
<p>Definition at line <a class="el" href="cl__syoscb_8svh_source.html#l00180">180</a> of file <a class="el" href="cl__syoscb_8svh_source.html">cl_syoscb.svh</a>.</p>
211
 
212
</div>
213
</div>
214
<hr/>The documentation for this class was generated from the following file:<ul>
215
<li><a class="el" href="cl__syoscb_8svh_source.html">cl_syoscb.svh</a></li>
216
</ul>
217
</div>
218
<!--- window showing the filter options -->
219
<div id="MSearchSelectWindow"
220
     onmouseover="return searchBox.OnSearchSelectShow()"
221
     onmouseout="return searchBox.OnSearchSelectHide()"
222
     onkeydown="return searchBox.OnSearchSelectKey(event)">
223
<a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(0)"><span class="SelectionMark">&nbsp;</span>All</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(1)"><span class="SelectionMark">&nbsp;</span>Classes</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(2)"><span class="SelectionMark">&nbsp;</span>Functions</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(3)"><span class="SelectionMark">&nbsp;</span>Variables</a></div>
224
 
225
<!-- iframe showing the search results (closed by default) -->
226
<div id="MSearchResultsWindow">
227
<iframe src="" frameborder="0"
228
        name="MSearchResults" id="MSearchResults">
229
</iframe>
230
</div>
231
 
232
<!--*************************************************************************-->
233
<!-- $Id: idv_dox_footer.html 136 2010-05-31 19:13:27Z seanoboyle $          -->
234
<!--*************************************************************************-->
235
<!--   This program is free software: you can redistribute it and/or modify  -->
236
<!--   it under the terms of the GNU General Public License as published by  -->
237
<!--   the Free Software Foundation, either version 3 of the License, or     -->
238
<!--   (at your option) any later version.                                   -->
239
<!--                                                                         -->
240
<!--   This program is distributed in the hope that it will be useful,       -->
241
<!--   but WITHOUT ANY WARRANTY; without even the implied warranty of        -->
242
<!--   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the         -->
243
<!--   GNU General Public License for more details.                          -->
244
<!--                                                                         -->
245
<!--   You should have received a copy of the GNU General Public License     -->
246
<!--   along with this program.  If not, see http://www.gnu.org/licenses/.   -->
247
<!--                                                                         -->
248
<!--*************************************************************************-->
249
<!-- Title:        IDV Doxygen Footer File                                   -->
250
<!-- Description:  This file is a doxygen footer with the IDV logo and a     -->
251
<!--               and a reference to the GNU FDL License.                   -->
252
<!--                                                                         -->
253
<!-- Original Author: Sean O'Boyle                                           -->
254
<!-- Contact:         seanoboyle@intelligentdv.com                           -->
255
<!-- Company:         Intelligent Design Verification                        -->
256
<!-- Company URL:     http://intelligentdv.com                               -->
257
<!--                                                                         -->
258
<!-- Download the most recent version here:                                  -->
259
<!--                  http://intelligentdv.com/downloads                     -->
260
<!--                                                                         -->
261
<!-- File Bugs Here:  http://bugs.intelligentdv.com                          -->
262
<!--        Project:  DoxygenFilterSV                                        -->
263
<!--                                                                         -->
264
<!-- File: idv_dox_header.xml                                                -->
265
<!-- $LastChangedBy: seanoboyle $                                            -->
266
<!-- $LastChangedDate: 2010-05-31 12:13:27 -0700 (Mon, 31 May 2010) $        -->
267
<!-- $LastChangedRevision: 136 $                                             -->
268
<!--                                                                         -->
269
<!--*************************************************************************-->
270
 
271
<br>
272
<table border="1" width = "100%">
273
  <tr>
274
    <td width = "20%">
275
     <img src="syosil.jpg">
276
    </td>
277
    <td width = "60%">
278
       <address style="text-align: center;">
279
       Project: SyoSil ApS UVM Scoreboard, Revision: 1.0.2.5<br>
280
       <br>
281
       Copyright 2014-2015 SyoSil ApS<br>
282
       All Rights Reserved Worldwide<br>
283
       <br>
284
      Licensed under the Apache License, Version 2.0 (the "License"); you may not
285
      use this file except in compliance with the License.  You may obtain a copy of
286
      the License at<br>
287
      <br>
288
       <a href="http://www.apache.org/licenses/LICENSE-2.0">http://www.apache.org/licenses/LICENSE-2.0</a><br>
289
      <br>
290
      Unless required by applicable law or agreed to in writing, software distributed under the License is
291
      distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
292
      implied. See the License for the specific language governing permissions and limitations under
293
      the License.
294
      </address>
295
    </td>
296
    <td width = "20%">
297
      <address style="text-align: right;"><small>
298
      <a href="http://www.doxygen.org/index.html"><img src="doxygen.png" alt="doxygen" align="middle" border="0"></a><br>
299
      <a href="http://www.doxygen.org/index.html">Doxygen</a> Version: 1.6.1<br>
300
      <a href="http://www.intelligentdv.com/index.html">IDV SV Filter</a> Version: 2.6.2<br>
301
      Sat Nov 28 05:41:55 2015</small></address>
302
   </td>
303
  </tr>
304
</table>
305
<address style="text-align: left;"><small>
306
Find a documentation bug?  Report bugs to: <a href="http://bugs.intelligentdv.com/">bugs.intelligentdv.com</a> Project: DoxygenFilterSV
307
</small></address>
308
</body>
309
</html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.