OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [uvm_syoscb/] [docs/] [html/] [classcl__syoscb__queue.html] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
<!-- This comment will put IE 6, 7 and 8 in quirks mode -->
2
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
3
<html xmlns="http://www.w3.org/1999/xhtml">
4
<head>
5
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
6
<title>SyoSil ApS UVM Scoreboard: cl_syoscb_queue Class Reference</title>
7
<link href="tabs.css" rel="stylesheet" type="text/css"/>
8
<link href="search/search.css" rel="stylesheet" type="text/css"/>
9
<script type="text/javaScript" src="search/search.js"></script>
10
<link href="doxygen.css" rel="stylesheet" type="text/css"/>
11
</head>
12
<body onload='searchBox.OnSelectItem(0);'>
13
<!-- Generated by Doxygen 1.6.1 -->
14
<script type="text/javascript"><!--
15
var searchBox = new SearchBox("searchBox", "search",false,'Search');
16
--></script>
17
<script type="text/javascript">
18
<!--
19
function changeDisplayState (e){
20
  var num=this.id.replace(/[^[0-9]/g,'');
21
  var button=this.firstChild;
22
  var sectionDiv=document.getElementById('dynsection'+num);
23
  if (sectionDiv.style.display=='none'||sectionDiv.style.display==''){
24
    sectionDiv.style.display='block';
25
    button.src='open.gif';
26
  }else{
27
    sectionDiv.style.display='none';
28
    button.src='closed.gif';
29
  }
30
}
31
function initDynSections(){
32
  var divs=document.getElementsByTagName('div');
33
  var sectionCounter=1;
34
  for(var i=0;i<divs.length-1;i++){
35
    if(divs[i].className=='dynheader'&&divs[i+1].className=='dynsection'){
36
      var header=divs[i];
37
      var section=divs[i+1];
38
      var button=header.firstChild;
39
      if (button!='IMG'){
40
        divs[i].insertBefore(document.createTextNode(' '),divs[i].firstChild);
41
        button=document.createElement('img');
42
        divs[i].insertBefore(button,divs[i].firstChild);
43
      }
44
      header.style.cursor='pointer';
45
      header.onclick=changeDisplayState;
46
      header.id='dynheader'+sectionCounter;
47
      button.src='closed.gif';
48
      section.id='dynsection'+sectionCounter;
49
      section.style.display='none';
50
      section.style.marginLeft='14px';
51
      sectionCounter++;
52
    }
53
  }
54
}
55
window.onload = initDynSections;
56
-->
57
</script>
58
<div class="navigation" id="top">
59
  <div class="tabs">
60
    <ul>
61
      <li><a href="index.html"><span>Main&nbsp;Page</span></a></li>
62
      <li><a href="pages.html"><span>Related&nbsp;Pages</span></a></li>
63
      <li class="current"><a href="annotated.html"><span>Classes</span></a></li>
64
      <li><a href="files.html"><span>Files</span></a></li>
65
      <li><a href="dirs.html"><span>Directories</span></a></li>
66
      <li>
67
        <div id="MSearchBox" class="MSearchBoxInactive">
68
        <img id="MSearchSelect" src="search/search.png"
69
             onmouseover="return searchBox.OnSearchSelectShow()"
70
             onmouseout="return searchBox.OnSearchSelectHide()"
71
             alt=""/>
72
        <input type="text" id="MSearchField" value="Search" accesskey="S"
73
             onfocus="searchBox.OnSearchFieldFocus(true)"
74
             onblur="searchBox.OnSearchFieldFocus(false)"
75
             onkeyup="searchBox.OnSearchFieldChange(event)"/>
76
        <a id="MSearchClose" href="javascript:searchBox.CloseResultsWindow()"><img id="MSearchCloseImg" border="0" src="search/close.png" alt=""/></a>
77
        </div>
78
      </li>
79
    </ul>
80
  </div>
81
  <div class="tabs">
82
    <ul>
83
      <li><a href="annotated.html"><span>Class&nbsp;List</span></a></li>
84
      <li><a href="classes.html"><span>Class&nbsp;Index</span></a></li>
85
      <li><a href="hierarchy.html"><span>Class&nbsp;Hierarchy</span></a></li>
86
      <li><a href="functions.html"><span>Class&nbsp;Members</span></a></li>
87
    </ul>
88
  </div>
89
</div>
90
<div class="contents">
91
<h1>cl_syoscb_queue Class Reference</h1><!-- doxytag: class="cl_syoscb_queue" -->
92
<p>Class which base concet of a queue.
93
<a href="#_details">More...</a></p>
94
<div class="dynheader">
95
Inheritance diagram for cl_syoscb_queue:</div>
96
<div class="dynsection">
97
<div class="center"><img src="classcl__syoscb__queue__inherit__graph.png" border="0" usemap="#cl__syoscb__queue_inherit__map" alt="Inheritance graph"/></div>
98
<map name="cl__syoscb__queue_inherit__map" id="cl__syoscb__queue_inherit__map">
99
<area shape="rect" id="node3" href="classcl__syoscb__queue__std.html" title="Standard implementation of a queue." alt="" coords="5,83,168,112"/></map>
100
<center><span class="legend">[<a href="graph_legend.html">legend</a>]</span></center></div>
101
<div class="dynheader">
102
Collaboration diagram for cl_syoscb_queue:</div>
103
<div class="dynsection">
104
<div class="center"><img src="classcl__syoscb__queue__coll__graph.png" border="0" usemap="#cl__syoscb__queue_coll__map" alt="Collaboration graph"/></div>
105
<map name="cl__syoscb__queue_coll__map" id="cl__syoscb__queue_coll__map">
106
<area shape="rect" id="node2" href="classcl__syoscb__cfg.html" title="Configuration class for the SyoSil UVM scoreboard." alt="" coords="5,101,120,131"/><area shape="rect" id="node5" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues." alt="" coords="52,197,284,227"/></map>
107
<center><span class="legend">[<a href="graph_legend.html">legend</a>]</span></center></div>
108
 
109
<p><a href="classcl__syoscb__queue-members.html">List of all members.</a></p>
110
<table border="0" cellpadding="0" cellspacing="0">
111
<tr><td colspan="2"><h2>Public Member Functions</h2></td></tr>
112
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a14e4b7b0e2931b2b1e7f54e7cde32152"></a><!-- doxytag: member="cl_syoscb_queue::build_phase" ref="a14e4b7b0e2931b2b1e7f54e7cde32152" args="(uvm_phase phase)" -->
113
void&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue.html#a14e4b7b0e2931b2b1e7f54e7cde32152">build_phase</a> (uvm_phase phase)</td></tr>
114
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Gets the global scoreboard configuration. <br/></td></tr>
115
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a28b1b880efb1f93eeb48890914685e43"></a><!-- doxytag: member="cl_syoscb_queue::check_phase" ref="a28b1b880efb1f93eeb48890914685e43" args="(uvm_phase phase)" -->
116
void&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue.html#a28b1b880efb1f93eeb48890914685e43">check_phase</a> (uvm_phase phase)</td></tr>
117
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Checks if the queue is empty. If not then a UVM error is issued. <br/></td></tr>
118
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="aac9a0b845a8b1947365fcddc7f37206a"></a><!-- doxytag: member="cl_syoscb_queue::report_phase" ref="aac9a0b845a8b1947365fcddc7f37206a" args="(uvm_phase phase)" -->
119
void&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue.html#aac9a0b845a8b1947365fcddc7f37206a">report_phase</a> (uvm_phase phase)</td></tr>
120
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Prints queue stats. <br/></td></tr>
121
<tr><td class="memItemLeft" align="right" valign="top">virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue.html#ada66fbc0eb1ef86b417fcdeaa5e9b9e0">add_item</a> (string producer, uvm_sequence_item item)</td></tr>
122
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Queue API:</b> Adds an uvm_sequence_item.  <a href="#ada66fbc0eb1ef86b417fcdeaa5e9b9e0"></a><br/></td></tr>
123
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a0ff5e60a18767a97056e02baf47b26b8"></a><!-- doxytag: member="cl_syoscb_queue::delete_item" ref="a0ff5e60a18767a97056e02baf47b26b8" args="(int unsigned idx)" -->
124
virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue.html#a0ff5e60a18767a97056e02baf47b26b8">delete_item</a> (int unsigned idx)</td></tr>
125
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Queue API:</b> Deletes the item at index idx from the queue <br/></td></tr>
126
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a5610529db04d89e3ad4173e9960b04fa"></a><!-- doxytag: member="cl_syoscb_queue::get_item" ref="a5610529db04d89e3ad4173e9960b04fa" args="(int unsigned idx)" -->
127
virtual <a class="el" href="classcl__syoscb__item.html">cl_syoscb_item</a>&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue.html#a5610529db04d89e3ad4173e9960b04fa">get_item</a> (int unsigned idx)</td></tr>
128
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Queue API:</b> Gets the item at index idx from the queue <br/></td></tr>
129
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="aa5f825cc085c487e603257e10bcc3f86"></a><!-- doxytag: member="cl_syoscb_queue::get_size" ref="aa5f825cc085c487e603257e10bcc3f86" args="()" -->
130
virtual int unsigned&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue.html#aa5f825cc085c487e603257e10bcc3f86">get_size</a> ()</td></tr>
131
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Queue API:</b> Returns the current size of the queue <br/></td></tr>
132
<tr><td class="memItemLeft" align="right" valign="top">virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue.html#a5b02ba85e905f5119ee18239f0e471d3">empty</a> ()</td></tr>
133
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Queue API:</b> Returns whether or not the queue is empty.  <a href="#a5b02ba85e905f5119ee18239f0e471d3"></a><br/></td></tr>
134
<tr><td class="memItemLeft" align="right" valign="top">virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue.html#adbb41d1162a0f3dbb84c657d2274c0cb">insert_item</a> (string producer, uvm_sequence_item item, int unsigned idx)</td></tr>
135
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Queue API:</b> Inserts a uvm_sequence_item at index idx.  <a href="#adbb41d1162a0f3dbb84c657d2274c0cb"></a><br/></td></tr>
136
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a443750e1bf6c4a231438e821466f1d7e"></a><!-- doxytag: member="cl_syoscb_queue::create_iterator" ref="a443750e1bf6c4a231438e821466f1d7e" args="()" -->
137
virtual <br class="typebreak"/>
138
<a class="el" href="classcl__syoscb__queue__iterator__base.html">cl_syoscb_queue_iterator_base</a>&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue.html#a443750e1bf6c4a231438e821466f1d7e">create_iterator</a> ()</td></tr>
139
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Queue API:</b> Creates an iterator for this queue. <br/></td></tr>
140
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a21e2463b97abce478edd3aa94d17372e"></a><!-- doxytag: member="cl_syoscb_queue::delete_iterator" ref="a21e2463b97abce478edd3aa94d17372e" args="(cl_syoscb_queue_iterator_base iterator)" -->
141
virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue.html#a21e2463b97abce478edd3aa94d17372e">delete_iterator</a> (<a class="el" href="classcl__syoscb__queue__iterator__base.html">cl_syoscb_queue_iterator_base</a> iterator)</td></tr>
142
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Queue API:</b> Deletes a given iterator for this queue. <br/></td></tr>
143
<tr><td colspan="2"><h2>Protected Attributes</h2></td></tr>
144
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="ab92efb1fb67ec7cc8dfe928a474a3e2b"></a><!-- doxytag: member="cl_syoscb_queue::cfg" ref="ab92efb1fb67ec7cc8dfe928a474a3e2b" args="" -->
145
<a class="el" href="classcl__syoscb__cfg.html">cl_syoscb_cfg</a>&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue.html#ab92efb1fb67ec7cc8dfe928a474a3e2b">cfg</a></td></tr>
146
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Handle to the configuration. <br/></td></tr>
147
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="af73fa6ac19ef7a629ad5f5eddf918780"></a><!-- doxytag: member="cl_syoscb_queue::iterators" ref="af73fa6ac19ef7a629ad5f5eddf918780" args="[cl_syoscb_queue_iterator_base]" -->
148
<a class="el" href="classcl__syoscb__queue__iterator__base.html">cl_syoscb_queue_iterator_base</a>&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue.html#af73fa6ac19ef7a629ad5f5eddf918780">iterators</a> [<a class="el" href="classcl__syoscb__queue__iterator__base.html">cl_syoscb_queue_iterator_base</a>]</td></tr>
149
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">List of iterators registered with queue. <br/></td></tr>
150
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a3b9f8500d7d93d01eda717aac6ef4c28"></a><!-- doxytag: member="cl_syoscb_queue::iter_idx" ref="a3b9f8500d7d93d01eda717aac6ef4c28" args="" -->
151
int unsigned&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue.html#a3b9f8500d7d93d01eda717aac6ef4c28">iter_idx</a></td></tr>
152
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Current number of iterators. <br/></td></tr>
153
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a7ce6c5e6c1b4fcd09f90ec2699cc594c"></a><!-- doxytag: member="cl_syoscb_queue::iter_sem" ref="a7ce6c5e6c1b4fcd09f90ec2699cc594c" args="" -->
154
semaphore&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue.html#a7ce6c5e6c1b4fcd09f90ec2699cc594c">iter_sem</a></td></tr>
155
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Semaphore guarding exclusive access to the queue when multiple iterators are in play. <br/></td></tr>
156
</table>
157
<hr/><a name="_details"></a><h2>Detailed Description</h2>
158
<p>Class which base concet of a queue. </p>
159
<p>All queues must extend this class and implement the queue API. </p>
160
 
161
<p>Definition at line <a class="el" href="cl__syoscb__queue_8svh_source.html#l00003">3</a> of file <a class="el" href="cl__syoscb__queue_8svh_source.html">cl_syoscb_queue.svh</a>.</p>
162
<hr/><h2>Member Function Documentation</h2>
163
<a class="anchor" id="ada66fbc0eb1ef86b417fcdeaa5e9b9e0"></a><!-- doxytag: member="cl_syoscb_queue::add_item" ref="ada66fbc0eb1ef86b417fcdeaa5e9b9e0" args="(string producer, uvm_sequence_item item)" -->
164
<div class="memitem">
165
<div class="memproto">
166
      <table class="memname">
167
        <tr>
168
          <td class="memname">bit cl_syoscb_queue::add_item </td>
169
          <td>(</td>
170
          <td class="paramtype">string&nbsp;</td>
171
          <td class="paramname"> <em>producer</em>, </td>
172
        </tr>
173
        <tr>
174
          <td class="paramkey"></td>
175
          <td></td>
176
          <td class="paramtype">uvm_sequence_item&nbsp;</td>
177
          <td class="paramname"> <em>item</em></td><td>&nbsp;</td>
178
        </tr>
179
        <tr>
180
          <td></td>
181
          <td>)</td>
182
          <td></td><td></td><td><code> [virtual]</code></td>
183
        </tr>
184
      </table>
185
</div>
186
<div class="memdoc">
187
 
188
<p><b>Queue API:</b> Adds an uvm_sequence_item. </p>
189
<p>The implementation must wrap this in a <a class="el" href="classcl__syoscb__item.html" title="The UVM scoreboard item.">cl_syoscb_item</a> object before the item is inserted </p>
190
 
191
<p>Reimplemented in <a class="el" href="classcl__syoscb__queue__std.html#a68f61e7f53901acb3cc50a9001193c0f">cl_syoscb_queue_std</a>, and <a class="el" href="classcl__syoscb__queue__std.html#aa131215a6166ff20ec3146386666a1f2">cl_syoscb_queue_std</a>.</p>
192
 
193
<p>Definition at line <a class="el" href="cl__syoscb__queue_8svh_source.html#l00105">105</a> of file <a class="el" href="cl__syoscb__queue_8svh_source.html">cl_syoscb_queue.svh</a>.</p>
194
 
195
</div>
196
</div>
197
<a class="anchor" id="a5b02ba85e905f5119ee18239f0e471d3"></a><!-- doxytag: member="cl_syoscb_queue::empty" ref="a5b02ba85e905f5119ee18239f0e471d3" args="()" -->
198
<div class="memitem">
199
<div class="memproto">
200
      <table class="memname">
201
        <tr>
202
          <td class="memname">bit cl_syoscb_queue::empty </td>
203
          <td>(</td>
204
          <td class="paramname"></td>
205
          <td>&nbsp;)&nbsp;</td>
206
          <td><code> [virtual]</code></td>
207
        </tr>
208
      </table>
209
</div>
210
<div class="memdoc">
211
 
212
<p><b>Queue API:</b> Returns whether or not the queue is empty. </p>
213
<p>1'b0 means thet te queue is not empty. 1'b1 means that the queue is empty </p>
214
 
215
<p>Reimplemented in <a class="el" href="classcl__syoscb__queue__std.html#a812d7f4d7143c9bef1f3181e24347d5c">cl_syoscb_queue_std</a>, and <a class="el" href="classcl__syoscb__queue__std.html#aa63237c16ac2ca71f9ca07131e9d79c8">cl_syoscb_queue_std</a>.</p>
216
 
217
<p>Definition at line <a class="el" href="cl__syoscb__queue_8svh_source.html#l00130">130</a> of file <a class="el" href="cl__syoscb__queue_8svh_source.html">cl_syoscb_queue.svh</a>.</p>
218
 
219
</div>
220
</div>
221
<a class="anchor" id="adbb41d1162a0f3dbb84c657d2274c0cb"></a><!-- doxytag: member="cl_syoscb_queue::insert_item" ref="adbb41d1162a0f3dbb84c657d2274c0cb" args="(string producer, uvm_sequence_item item, int unsigned idx)" -->
222
<div class="memitem">
223
<div class="memproto">
224
      <table class="memname">
225
        <tr>
226
          <td class="memname">bit cl_syoscb_queue::insert_item </td>
227
          <td>(</td>
228
          <td class="paramtype">string&nbsp;</td>
229
          <td class="paramname"> <em>producer</em>, </td>
230
        </tr>
231
        <tr>
232
          <td class="paramkey"></td>
233
          <td></td>
234
          <td class="paramtype">uvm_sequence_item&nbsp;</td>
235
          <td class="paramname"> <em>item</em>, </td>
236
        </tr>
237
        <tr>
238
          <td class="paramkey"></td>
239
          <td></td>
240
          <td class="paramtype">int unsigned&nbsp;</td>
241
          <td class="paramname"> <em>idx</em></td><td>&nbsp;</td>
242
        </tr>
243
        <tr>
244
          <td></td>
245
          <td>)</td>
246
          <td></td><td></td><td><code> [virtual]</code></td>
247
        </tr>
248
      </table>
249
</div>
250
<div class="memdoc">
251
 
252
<p><b>Queue API:</b> Inserts a uvm_sequence_item at index idx. </p>
253
<p>The implementation must wrap the uvm_sequence_item in a <a class="el" href="classcl__syoscb__item.html" title="The UVM scoreboard item.">cl_syoscb_item</a> before it is inserted. </p>
254
 
255
<p>Reimplemented in <a class="el" href="classcl__syoscb__queue__std.html#ad293b78071120f596e3884980e36a9fa">cl_syoscb_queue_std</a>, and <a class="el" href="classcl__syoscb__queue__std.html#aca0fb79ae56d3b0394c6c6d1bfc3bbd3">cl_syoscb_queue_std</a>.</p>
256
 
257
<p>Definition at line <a class="el" href="cl__syoscb__queue_8svh_source.html#l00137">137</a> of file <a class="el" href="cl__syoscb__queue_8svh_source.html">cl_syoscb_queue.svh</a>.</p>
258
 
259
</div>
260
</div>
261
<hr/>The documentation for this class was generated from the following file:<ul>
262
<li><a class="el" href="cl__syoscb__queue_8svh_source.html">cl_syoscb_queue.svh</a></li>
263
</ul>
264
</div>
265
<!--- window showing the filter options -->
266
<div id="MSearchSelectWindow"
267
     onmouseover="return searchBox.OnSearchSelectShow()"
268
     onmouseout="return searchBox.OnSearchSelectHide()"
269
     onkeydown="return searchBox.OnSearchSelectKey(event)">
270
<a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(0)"><span class="SelectionMark">&nbsp;</span>All</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(1)"><span class="SelectionMark">&nbsp;</span>Classes</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(2)"><span class="SelectionMark">&nbsp;</span>Functions</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(3)"><span class="SelectionMark">&nbsp;</span>Variables</a></div>
271
 
272
<!-- iframe showing the search results (closed by default) -->
273
<div id="MSearchResultsWindow">
274
<iframe src="" frameborder="0"
275
        name="MSearchResults" id="MSearchResults">
276
</iframe>
277
</div>
278
 
279
<!--*************************************************************************-->
280
<!-- $Id: idv_dox_footer.html 136 2010-05-31 19:13:27Z seanoboyle $          -->
281
<!--*************************************************************************-->
282
<!--   This program is free software: you can redistribute it and/or modify  -->
283
<!--   it under the terms of the GNU General Public License as published by  -->
284
<!--   the Free Software Foundation, either version 3 of the License, or     -->
285
<!--   (at your option) any later version.                                   -->
286
<!--                                                                         -->
287
<!--   This program is distributed in the hope that it will be useful,       -->
288
<!--   but WITHOUT ANY WARRANTY; without even the implied warranty of        -->
289
<!--   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the         -->
290
<!--   GNU General Public License for more details.                          -->
291
<!--                                                                         -->
292
<!--   You should have received a copy of the GNU General Public License     -->
293
<!--   along with this program.  If not, see http://www.gnu.org/licenses/.   -->
294
<!--                                                                         -->
295
<!--*************************************************************************-->
296
<!-- Title:        IDV Doxygen Footer File                                   -->
297
<!-- Description:  This file is a doxygen footer with the IDV logo and a     -->
298
<!--               and a reference to the GNU FDL License.                   -->
299
<!--                                                                         -->
300
<!-- Original Author: Sean O'Boyle                                           -->
301
<!-- Contact:         seanoboyle@intelligentdv.com                           -->
302
<!-- Company:         Intelligent Design Verification                        -->
303
<!-- Company URL:     http://intelligentdv.com                               -->
304
<!--                                                                         -->
305
<!-- Download the most recent version here:                                  -->
306
<!--                  http://intelligentdv.com/downloads                     -->
307
<!--                                                                         -->
308
<!-- File Bugs Here:  http://bugs.intelligentdv.com                          -->
309
<!--        Project:  DoxygenFilterSV                                        -->
310
<!--                                                                         -->
311
<!-- File: idv_dox_header.xml                                                -->
312
<!-- $LastChangedBy: seanoboyle $                                            -->
313
<!-- $LastChangedDate: 2010-05-31 12:13:27 -0700 (Mon, 31 May 2010) $        -->
314
<!-- $LastChangedRevision: 136 $                                             -->
315
<!--                                                                         -->
316
<!--*************************************************************************-->
317
 
318
<br>
319
<table border="1" width = "100%">
320
  <tr>
321
    <td width = "20%">
322
     <img src="syosil.jpg">
323
    </td>
324
    <td width = "60%">
325
       <address style="text-align: center;">
326
       Project: SyoSil ApS UVM Scoreboard, Revision: 1.0.2.5<br>
327
       <br>
328
       Copyright 2014-2015 SyoSil ApS<br>
329
       All Rights Reserved Worldwide<br>
330
       <br>
331
      Licensed under the Apache License, Version 2.0 (the "License"); you may not
332
      use this file except in compliance with the License.  You may obtain a copy of
333
      the License at<br>
334
      <br>
335
       <a href="http://www.apache.org/licenses/LICENSE-2.0">http://www.apache.org/licenses/LICENSE-2.0</a><br>
336
      <br>
337
      Unless required by applicable law or agreed to in writing, software distributed under the License is
338
      distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
339
      implied. See the License for the specific language governing permissions and limitations under
340
      the License.
341
      </address>
342
    </td>
343
    <td width = "20%">
344
      <address style="text-align: right;"><small>
345
      <a href="http://www.doxygen.org/index.html"><img src="doxygen.png" alt="doxygen" align="middle" border="0"></a><br>
346
      <a href="http://www.doxygen.org/index.html">Doxygen</a> Version: 1.6.1<br>
347
      <a href="http://www.intelligentdv.com/index.html">IDV SV Filter</a> Version: 2.6.2<br>
348
      Sat Nov 28 05:41:55 2015</small></address>
349
   </td>
350
  </tr>
351
</table>
352
<address style="text-align: left;"><small>
353
Find a documentation bug?  Report bugs to: <a href="http://bugs.intelligentdv.com/">bugs.intelligentdv.com</a> Project: DoxygenFilterSV
354
</small></address>
355
</body>
356
</html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.