OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [uvm_syoscb/] [docs/] [html/] [classcl__syoscb__queue__iterator__base.html] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
<!-- This comment will put IE 6, 7 and 8 in quirks mode -->
2
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
3
<html xmlns="http://www.w3.org/1999/xhtml">
4
<head>
5
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
6
<title>SyoSil ApS UVM Scoreboard: cl_syoscb_queue_iterator_base Class Reference</title>
7
<link href="tabs.css" rel="stylesheet" type="text/css"/>
8
<link href="search/search.css" rel="stylesheet" type="text/css"/>
9
<script type="text/javaScript" src="search/search.js"></script>
10
<link href="doxygen.css" rel="stylesheet" type="text/css"/>
11
</head>
12
<body onload='searchBox.OnSelectItem(0);'>
13
<!-- Generated by Doxygen 1.6.1 -->
14
<script type="text/javascript"><!--
15
var searchBox = new SearchBox("searchBox", "search",false,'Search');
16
--></script>
17
<script type="text/javascript">
18
<!--
19
function changeDisplayState (e){
20
  var num=this.id.replace(/[^[0-9]/g,'');
21
  var button=this.firstChild;
22
  var sectionDiv=document.getElementById('dynsection'+num);
23
  if (sectionDiv.style.display=='none'||sectionDiv.style.display==''){
24
    sectionDiv.style.display='block';
25
    button.src='open.gif';
26
  }else{
27
    sectionDiv.style.display='none';
28
    button.src='closed.gif';
29
  }
30
}
31
function initDynSections(){
32
  var divs=document.getElementsByTagName('div');
33
  var sectionCounter=1;
34
  for(var i=0;i<divs.length-1;i++){
35
    if(divs[i].className=='dynheader'&&divs[i+1].className=='dynsection'){
36
      var header=divs[i];
37
      var section=divs[i+1];
38
      var button=header.firstChild;
39
      if (button!='IMG'){
40
        divs[i].insertBefore(document.createTextNode(' '),divs[i].firstChild);
41
        button=document.createElement('img');
42
        divs[i].insertBefore(button,divs[i].firstChild);
43
      }
44
      header.style.cursor='pointer';
45
      header.onclick=changeDisplayState;
46
      header.id='dynheader'+sectionCounter;
47
      button.src='closed.gif';
48
      section.id='dynsection'+sectionCounter;
49
      section.style.display='none';
50
      section.style.marginLeft='14px';
51
      sectionCounter++;
52
    }
53
  }
54
}
55
window.onload = initDynSections;
56
-->
57
</script>
58
<div class="navigation" id="top">
59
  <div class="tabs">
60
    <ul>
61
      <li><a href="index.html"><span>Main&nbsp;Page</span></a></li>
62
      <li><a href="pages.html"><span>Related&nbsp;Pages</span></a></li>
63
      <li class="current"><a href="annotated.html"><span>Classes</span></a></li>
64
      <li><a href="files.html"><span>Files</span></a></li>
65
      <li><a href="dirs.html"><span>Directories</span></a></li>
66
      <li>
67
        <div id="MSearchBox" class="MSearchBoxInactive">
68
        <img id="MSearchSelect" src="search/search.png"
69
             onmouseover="return searchBox.OnSearchSelectShow()"
70
             onmouseout="return searchBox.OnSearchSelectHide()"
71
             alt=""/>
72
        <input type="text" id="MSearchField" value="Search" accesskey="S"
73
             onfocus="searchBox.OnSearchFieldFocus(true)"
74
             onblur="searchBox.OnSearchFieldFocus(false)"
75
             onkeyup="searchBox.OnSearchFieldChange(event)"/>
76
        <a id="MSearchClose" href="javascript:searchBox.CloseResultsWindow()"><img id="MSearchCloseImg" border="0" src="search/close.png" alt=""/></a>
77
        </div>
78
      </li>
79
    </ul>
80
  </div>
81
  <div class="tabs">
82
    <ul>
83
      <li><a href="annotated.html"><span>Class&nbsp;List</span></a></li>
84
      <li><a href="classes.html"><span>Class&nbsp;Index</span></a></li>
85
      <li><a href="hierarchy.html"><span>Class&nbsp;Hierarchy</span></a></li>
86
      <li><a href="functions.html"><span>Class&nbsp;Members</span></a></li>
87
    </ul>
88
  </div>
89
</div>
90
<div class="contents">
91
<h1>cl_syoscb_queue_iterator_base Class Reference</h1><!-- doxytag: class="cl_syoscb_queue_iterator_base" -->
92
<p>Queue iterator base class defining the iterator API used for iterating queues.
93
<a href="#_details">More...</a></p>
94
<div class="dynheader">
95
Inheritance diagram for cl_syoscb_queue_iterator_base:</div>
96
<div class="dynsection">
97
<div class="center"><img src="classcl__syoscb__queue__iterator__base__inherit__graph.png" border="0" usemap="#cl__syoscb__queue__iterator__base_inherit__map" alt="Inheritance graph"/></div>
98
<map name="cl__syoscb__queue__iterator__base_inherit__map" id="cl__syoscb__queue__iterator__base_inherit__map">
99
<area shape="rect" id="node3" href="classcl__syoscb__queue__iterator__std.html" title="Queue iterator class defining the iterator API used for iterating std queues." alt="" coords="11,83,232,112"/></map>
100
<center><span class="legend">[<a href="graph_legend.html">legend</a>]</span></center></div>
101
<div class="dynheader">
102
Collaboration diagram for cl_syoscb_queue_iterator_base:</div>
103
<div class="dynsection">
104
<div class="center"><img src="classcl__syoscb__queue__iterator__base__coll__graph.png" border="0" usemap="#cl__syoscb__queue__iterator__base_coll__map" alt="Collaboration graph"/></div>
105
<map name="cl__syoscb__queue__iterator__base_coll__map" id="cl__syoscb__queue__iterator__base_coll__map">
106
<area shape="rect" id="node4" href="classcl__syoscb__queue.html" title="Class which base concet of a queue." alt="" coords="5,101,139,131"/><area shape="rect" id="node2" href="classcl__syoscb__cfg.html" title="Configuration class for the SyoSil UVM scoreboard." alt="" coords="92,197,207,227"/></map>
107
<center><span class="legend">[<a href="graph_legend.html">legend</a>]</span></center></div>
108
 
109
<p><a href="classcl__syoscb__queue__iterator__base-members.html">List of all members.</a></p>
110
<table border="0" cellpadding="0" cellspacing="0">
111
<tr><td colspan="2"><h2>Public Member Functions</h2></td></tr>
112
<tr><td class="memItemLeft" align="right" valign="top">virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__base.html#a70293656ff0720b611c94eda8cfe0ce5">next</a> ()</td></tr>
113
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> Moves the iterator to the next item in the queue.  <a href="#a70293656ff0720b611c94eda8cfe0ce5"></a><br/></td></tr>
114
<tr><td class="memItemLeft" align="right" valign="top">virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__base.html#afc2b8985620b740ee74ff7888df9f76f">previous</a> ()</td></tr>
115
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> Moves the iterator to the previous item in the queue.  <a href="#afc2b8985620b740ee74ff7888df9f76f"></a><br/></td></tr>
116
<tr><td class="memItemLeft" align="right" valign="top">virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__base.html#a7f9050d9599104fd1f0c74764733c9a8">first</a> ()</td></tr>
117
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> Moves the iterator to the first item in the queue.  <a href="#a7f9050d9599104fd1f0c74764733c9a8"></a><br/></td></tr>
118
<tr><td class="memItemLeft" align="right" valign="top">virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__base.html#af89041d1ae55146e3a9ff2f68d861ece">last</a> ()</td></tr>
119
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> Moves the iterator to the last item in the queue.  <a href="#af89041d1ae55146e3a9ff2f68d861ece"></a><br/></td></tr>
120
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a52cf9b515b136ae9a24fd04cda886a8f"></a><!-- doxytag: member="cl_syoscb_queue_iterator_base::get_idx" ref="a52cf9b515b136ae9a24fd04cda886a8f" args="()" -->
121
virtual int unsigned&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__base.html#a52cf9b515b136ae9a24fd04cda886a8f">get_idx</a> ()</td></tr>
122
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> Returns the current index <br/></td></tr>
123
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a53fea126af4b7578593ced59a94613f7"></a><!-- doxytag: member="cl_syoscb_queue_iterator_base::get_item" ref="a53fea126af4b7578593ced59a94613f7" args="()" -->
124
virtual <a class="el" href="classcl__syoscb__item.html">cl_syoscb_item</a>&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__base.html#a53fea126af4b7578593ced59a94613f7">get_item</a> ()</td></tr>
125
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> Returns the current <a class="el" href="classcl__syoscb__item.html" title="The UVM scoreboard item.">cl_syoscb_item</a> object at the current index <br/></td></tr>
126
<tr><td class="memItemLeft" align="right" valign="top">virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__base.html#ade166e2730e4d2b53b4b17042bb15df1">is_done</a> ()</td></tr>
127
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> Returns 1'b0 as long as the iterator has not reached the end.  <a href="#ade166e2730e4d2b53b4b17042bb15df1"></a><br/></td></tr>
128
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a2e37464d479306e3998012cb7c75a9b1"></a><!-- doxytag: member="cl_syoscb_queue_iterator_base::get_queue" ref="a2e37464d479306e3998012cb7c75a9b1" args="()" -->
129
protected <a class="el" href="classcl__syoscb__queue.html">cl_syoscb_queue</a>&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__base.html#a2e37464d479306e3998012cb7c75a9b1">get_queue</a> ()</td></tr>
130
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> Returns releated queue <br/></td></tr>
131
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a8249c76b049459abdfb0341b6ab76e66"></a><!-- doxytag: member="cl_syoscb_queue_iterator_base::set_queue" ref="a8249c76b049459abdfb0341b6ab76e66" args="(cl_syoscb_queue owner)" -->
132
virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__base.html#a8249c76b049459abdfb0341b6ab76e66">set_queue</a> (<a class="el" href="classcl__syoscb__queue.html">cl_syoscb_queue</a> <a class="el" href="classcl__syoscb__queue__iterator__base.html#a7d2e751dbeb1921dfe580d61548f5aa2">owner</a>)</td></tr>
133
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> Sets releated queue <br/></td></tr>
134
<tr><td colspan="2"><h2>Protected Attributes</h2></td></tr>
135
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a7d2e751dbeb1921dfe580d61548f5aa2"></a><!-- doxytag: member="cl_syoscb_queue_iterator_base::owner" ref="a7d2e751dbeb1921dfe580d61548f5aa2" args="" -->
136
<a class="el" href="classcl__syoscb__queue.html">cl_syoscb_queue</a>&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__base.html#a7d2e751dbeb1921dfe580d61548f5aa2">owner</a></td></tr>
137
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">The owner of this iterator. <br/></td></tr>
138
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a147a9ac82095ec90505f5c260626ff13"></a><!-- doxytag: member="cl_syoscb_queue_iterator_base::position" ref="a147a9ac82095ec90505f5c260626ff13" args="" -->
139
int unsigned&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__base.html#a147a9ac82095ec90505f5c260626ff13">position</a> = 0</td></tr>
140
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Current position in the queue. <br/></td></tr>
141
</table>
142
<hr/><a name="_details"></a><h2>Detailed Description</h2>
143
<p>Queue iterator base class defining the iterator API used for iterating queues. </p>
144
 
145
<p>Definition at line <a class="el" href="cl__syoscb__queue__iterator__base_8svh_source.html#l00002">2</a> of file <a class="el" href="cl__syoscb__queue__iterator__base_8svh_source.html">cl_syoscb_queue_iterator_base.svh</a>.</p>
146
<hr/><h2>Member Function Documentation</h2>
147
<a class="anchor" id="a7f9050d9599104fd1f0c74764733c9a8"></a><!-- doxytag: member="cl_syoscb_queue_iterator_base::first" ref="a7f9050d9599104fd1f0c74764733c9a8" args="()" -->
148
<div class="memitem">
149
<div class="memproto">
150
      <table class="memname">
151
        <tr>
152
          <td class="memname">bit cl_syoscb_queue_iterator_base::first </td>
153
          <td>(</td>
154
          <td class="paramname"></td>
155
          <td>&nbsp;)&nbsp;</td>
156
          <td><code> [virtual]</code></td>
157
        </tr>
158
      </table>
159
</div>
160
<div class="memdoc">
161
 
162
<p><b>Iterator API:</b> Moves the iterator to the first item in the queue. </p>
163
<p>It shall return 1'b0 if there is no first item (Queue is empty). </p>
164
 
165
<p>Reimplemented in <a class="el" href="classcl__syoscb__queue__iterator__std.html#a58ca395f3020e094a9b467ceb77f8824">cl_syoscb_queue_iterator_std</a>, and <a class="el" href="classcl__syoscb__queue__iterator__std.html#a194d4841ff99b229c02ddf5e28378c9c">cl_syoscb_queue_iterator_std</a>.</p>
166
 
167
<p>Definition at line <a class="el" href="cl__syoscb__queue__iterator__base_8svh_source.html#l00060">60</a> of file <a class="el" href="cl__syoscb__queue__iterator__base_8svh_source.html">cl_syoscb_queue_iterator_base.svh</a>.</p>
168
 
169
</div>
170
</div>
171
<a class="anchor" id="ade166e2730e4d2b53b4b17042bb15df1"></a><!-- doxytag: member="cl_syoscb_queue_iterator_base::is_done" ref="ade166e2730e4d2b53b4b17042bb15df1" args="()" -->
172
<div class="memitem">
173
<div class="memproto">
174
      <table class="memname">
175
        <tr>
176
          <td class="memname">bit cl_syoscb_queue_iterator_base::is_done </td>
177
          <td>(</td>
178
          <td class="paramname"></td>
179
          <td>&nbsp;)&nbsp;</td>
180
          <td><code> [virtual]</code></td>
181
        </tr>
182
      </table>
183
</div>
184
<div class="memdoc">
185
 
186
<p><b>Iterator API:</b> Returns 1'b0 as long as the iterator has not reached the end. </p>
187
<p>When the iterator has reached the end then it returns 1'b1. </p>
188
 
189
<p>Reimplemented in <a class="el" href="classcl__syoscb__queue__iterator__std.html#a80774408e410cafb645abf56eea28580">cl_syoscb_queue_iterator_std</a>, and <a class="el" href="classcl__syoscb__queue__iterator__std.html#ad1cd9e922990d25eaa231f9069adc31f">cl_syoscb_queue_iterator_std</a>.</p>
190
 
191
<p>Definition at line <a class="el" href="cl__syoscb__queue__iterator__base_8svh_source.html#l00086">86</a> of file <a class="el" href="cl__syoscb__queue__iterator__base_8svh_source.html">cl_syoscb_queue_iterator_base.svh</a>.</p>
192
 
193
</div>
194
</div>
195
<a class="anchor" id="af89041d1ae55146e3a9ff2f68d861ece"></a><!-- doxytag: member="cl_syoscb_queue_iterator_base::last" ref="af89041d1ae55146e3a9ff2f68d861ece" args="()" -->
196
<div class="memitem">
197
<div class="memproto">
198
      <table class="memname">
199
        <tr>
200
          <td class="memname">bit cl_syoscb_queue_iterator_base::last </td>
201
          <td>(</td>
202
          <td class="paramname"></td>
203
          <td>&nbsp;)&nbsp;</td>
204
          <td><code> [virtual]</code></td>
205
        </tr>
206
      </table>
207
</div>
208
<div class="memdoc">
209
 
210
<p><b>Iterator API:</b> Moves the iterator to the last item in the queue. </p>
211
<p>It shall return 1'b0 if there is no last item (Queue is empty). </p>
212
 
213
<p>Reimplemented in <a class="el" href="classcl__syoscb__queue__iterator__std.html#af407a396c06a4da3934f64e25641a5a8">cl_syoscb_queue_iterator_std</a>, and <a class="el" href="classcl__syoscb__queue__iterator__std.html#aabf4f51b56006b8815d9d13fb4ef4501">cl_syoscb_queue_iterator_std</a>.</p>
214
 
215
<p>Definition at line <a class="el" href="cl__syoscb__queue__iterator__base_8svh_source.html#l00067">67</a> of file <a class="el" href="cl__syoscb__queue__iterator__base_8svh_source.html">cl_syoscb_queue_iterator_base.svh</a>.</p>
216
 
217
</div>
218
</div>
219
<a class="anchor" id="a70293656ff0720b611c94eda8cfe0ce5"></a><!-- doxytag: member="cl_syoscb_queue_iterator_base::next" ref="a70293656ff0720b611c94eda8cfe0ce5" args="()" -->
220
<div class="memitem">
221
<div class="memproto">
222
      <table class="memname">
223
        <tr>
224
          <td class="memname">bit cl_syoscb_queue_iterator_base::next </td>
225
          <td>(</td>
226
          <td class="paramname"></td>
227
          <td>&nbsp;)&nbsp;</td>
228
          <td><code> [virtual]</code></td>
229
        </tr>
230
      </table>
231
</div>
232
<div class="memdoc">
233
 
234
<p><b>Iterator API:</b> Moves the iterator to the next item in the queue. </p>
235
<p>It shall return 1'b0 if there is no next item, e.g. when it is either empty or the iterator has reached the end of the queue. </p>
236
 
237
</div>
238
</div>
239
<a class="anchor" id="afc2b8985620b740ee74ff7888df9f76f"></a><!-- doxytag: member="cl_syoscb_queue_iterator_base::previous" ref="afc2b8985620b740ee74ff7888df9f76f" args="()" -->
240
<div class="memitem">
241
<div class="memproto">
242
      <table class="memname">
243
        <tr>
244
          <td class="memname">bit cl_syoscb_queue_iterator_base::previous </td>
245
          <td>(</td>
246
          <td class="paramname"></td>
247
          <td>&nbsp;)&nbsp;</td>
248
          <td><code> [virtual]</code></td>
249
        </tr>
250
      </table>
251
</div>
252
<div class="memdoc">
253
 
254
<p><b>Iterator API:</b> Moves the iterator to the previous item in the queue. </p>
255
<p>It shall return 1'b0 if there is no previous item, e.g. when it is either empty or the iterator has reached the very beginning of the queue. </p>
256
 
257
<p>Reimplemented in <a class="el" href="classcl__syoscb__queue__iterator__std.html#a1d541f020eec023d6ef261af533c5cfe">cl_syoscb_queue_iterator_std</a>, and <a class="el" href="classcl__syoscb__queue__iterator__std.html#a5a9b9a20120762dcaba759dc58cc581c">cl_syoscb_queue_iterator_std</a>.</p>
258
 
259
<p>Definition at line <a class="el" href="cl__syoscb__queue__iterator__base_8svh_source.html#l00053">53</a> of file <a class="el" href="cl__syoscb__queue__iterator__base_8svh_source.html">cl_syoscb_queue_iterator_base.svh</a>.</p>
260
 
261
</div>
262
</div>
263
<hr/>The documentation for this class was generated from the following file:<ul>
264
<li><a class="el" href="cl__syoscb__queue__iterator__base_8svh_source.html">cl_syoscb_queue_iterator_base.svh</a></li>
265
</ul>
266
</div>
267
<!--- window showing the filter options -->
268
<div id="MSearchSelectWindow"
269
     onmouseover="return searchBox.OnSearchSelectShow()"
270
     onmouseout="return searchBox.OnSearchSelectHide()"
271
     onkeydown="return searchBox.OnSearchSelectKey(event)">
272
<a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(0)"><span class="SelectionMark">&nbsp;</span>All</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(1)"><span class="SelectionMark">&nbsp;</span>Classes</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(2)"><span class="SelectionMark">&nbsp;</span>Functions</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(3)"><span class="SelectionMark">&nbsp;</span>Variables</a></div>
273
 
274
<!-- iframe showing the search results (closed by default) -->
275
<div id="MSearchResultsWindow">
276
<iframe src="" frameborder="0"
277
        name="MSearchResults" id="MSearchResults">
278
</iframe>
279
</div>
280
 
281
<!--*************************************************************************-->
282
<!-- $Id: idv_dox_footer.html 136 2010-05-31 19:13:27Z seanoboyle $          -->
283
<!--*************************************************************************-->
284
<!--   This program is free software: you can redistribute it and/or modify  -->
285
<!--   it under the terms of the GNU General Public License as published by  -->
286
<!--   the Free Software Foundation, either version 3 of the License, or     -->
287
<!--   (at your option) any later version.                                   -->
288
<!--                                                                         -->
289
<!--   This program is distributed in the hope that it will be useful,       -->
290
<!--   but WITHOUT ANY WARRANTY; without even the implied warranty of        -->
291
<!--   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the         -->
292
<!--   GNU General Public License for more details.                          -->
293
<!--                                                                         -->
294
<!--   You should have received a copy of the GNU General Public License     -->
295
<!--   along with this program.  If not, see http://www.gnu.org/licenses/.   -->
296
<!--                                                                         -->
297
<!--*************************************************************************-->
298
<!-- Title:        IDV Doxygen Footer File                                   -->
299
<!-- Description:  This file is a doxygen footer with the IDV logo and a     -->
300
<!--               and a reference to the GNU FDL License.                   -->
301
<!--                                                                         -->
302
<!-- Original Author: Sean O'Boyle                                           -->
303
<!-- Contact:         seanoboyle@intelligentdv.com                           -->
304
<!-- Company:         Intelligent Design Verification                        -->
305
<!-- Company URL:     http://intelligentdv.com                               -->
306
<!--                                                                         -->
307
<!-- Download the most recent version here:                                  -->
308
<!--                  http://intelligentdv.com/downloads                     -->
309
<!--                                                                         -->
310
<!-- File Bugs Here:  http://bugs.intelligentdv.com                          -->
311
<!--        Project:  DoxygenFilterSV                                        -->
312
<!--                                                                         -->
313
<!-- File: idv_dox_header.xml                                                -->
314
<!-- $LastChangedBy: seanoboyle $                                            -->
315
<!-- $LastChangedDate: 2010-05-31 12:13:27 -0700 (Mon, 31 May 2010) $        -->
316
<!-- $LastChangedRevision: 136 $                                             -->
317
<!--                                                                         -->
318
<!--*************************************************************************-->
319
 
320
<br>
321
<table border="1" width = "100%">
322
  <tr>
323
    <td width = "20%">
324
     <img src="syosil.jpg">
325
    </td>
326
    <td width = "60%">
327
       <address style="text-align: center;">
328
       Project: SyoSil ApS UVM Scoreboard, Revision: 1.0.2.5<br>
329
       <br>
330
       Copyright 2014-2015 SyoSil ApS<br>
331
       All Rights Reserved Worldwide<br>
332
       <br>
333
      Licensed under the Apache License, Version 2.0 (the "License"); you may not
334
      use this file except in compliance with the License.  You may obtain a copy of
335
      the License at<br>
336
      <br>
337
       <a href="http://www.apache.org/licenses/LICENSE-2.0">http://www.apache.org/licenses/LICENSE-2.0</a><br>
338
      <br>
339
      Unless required by applicable law or agreed to in writing, software distributed under the License is
340
      distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
341
      implied. See the License for the specific language governing permissions and limitations under
342
      the License.
343
      </address>
344
    </td>
345
    <td width = "20%">
346
      <address style="text-align: right;"><small>
347
      <a href="http://www.doxygen.org/index.html"><img src="doxygen.png" alt="doxygen" align="middle" border="0"></a><br>
348
      <a href="http://www.doxygen.org/index.html">Doxygen</a> Version: 1.6.1<br>
349
      <a href="http://www.intelligentdv.com/index.html">IDV SV Filter</a> Version: 2.6.2<br>
350
      Sat Nov 28 05:41:55 2015</small></address>
351
   </td>
352
  </tr>
353
</table>
354
<address style="text-align: left;"><small>
355
Find a documentation bug?  Report bugs to: <a href="http://bugs.intelligentdv.com/">bugs.intelligentdv.com</a> Project: DoxygenFilterSV
356
</small></address>
357
</body>
358
</html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.