OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [uvm_syoscb/] [docs/] [html/] [classcl__syoscb__queue__iterator__std.html] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
<!-- This comment will put IE 6, 7 and 8 in quirks mode -->
2
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
3
<html xmlns="http://www.w3.org/1999/xhtml">
4
<head>
5
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
6
<title>SyoSil ApS UVM Scoreboard: cl_syoscb_queue_iterator_std Class Reference</title>
7
<link href="tabs.css" rel="stylesheet" type="text/css"/>
8
<link href="search/search.css" rel="stylesheet" type="text/css"/>
9
<script type="text/javaScript" src="search/search.js"></script>
10
<link href="doxygen.css" rel="stylesheet" type="text/css"/>
11
</head>
12
<body onload='searchBox.OnSelectItem(0);'>
13
<!-- Generated by Doxygen 1.6.1 -->
14
<script type="text/javascript"><!--
15
var searchBox = new SearchBox("searchBox", "search",false,'Search');
16
--></script>
17
<script type="text/javascript">
18
<!--
19
function changeDisplayState (e){
20
  var num=this.id.replace(/[^[0-9]/g,'');
21
  var button=this.firstChild;
22
  var sectionDiv=document.getElementById('dynsection'+num);
23
  if (sectionDiv.style.display=='none'||sectionDiv.style.display==''){
24
    sectionDiv.style.display='block';
25
    button.src='open.gif';
26
  }else{
27
    sectionDiv.style.display='none';
28
    button.src='closed.gif';
29
  }
30
}
31
function initDynSections(){
32
  var divs=document.getElementsByTagName('div');
33
  var sectionCounter=1;
34
  for(var i=0;i<divs.length-1;i++){
35
    if(divs[i].className=='dynheader'&&divs[i+1].className=='dynsection'){
36
      var header=divs[i];
37
      var section=divs[i+1];
38
      var button=header.firstChild;
39
      if (button!='IMG'){
40
        divs[i].insertBefore(document.createTextNode(' '),divs[i].firstChild);
41
        button=document.createElement('img');
42
        divs[i].insertBefore(button,divs[i].firstChild);
43
      }
44
      header.style.cursor='pointer';
45
      header.onclick=changeDisplayState;
46
      header.id='dynheader'+sectionCounter;
47
      button.src='closed.gif';
48
      section.id='dynsection'+sectionCounter;
49
      section.style.display='none';
50
      section.style.marginLeft='14px';
51
      sectionCounter++;
52
    }
53
  }
54
}
55
window.onload = initDynSections;
56
-->
57
</script>
58
<div class="navigation" id="top">
59
  <div class="tabs">
60
    <ul>
61
      <li><a href="index.html"><span>Main&nbsp;Page</span></a></li>
62
      <li><a href="pages.html"><span>Related&nbsp;Pages</span></a></li>
63
      <li class="current"><a href="annotated.html"><span>Classes</span></a></li>
64
      <li><a href="files.html"><span>Files</span></a></li>
65
      <li><a href="dirs.html"><span>Directories</span></a></li>
66
      <li>
67
        <div id="MSearchBox" class="MSearchBoxInactive">
68
        <img id="MSearchSelect" src="search/search.png"
69
             onmouseover="return searchBox.OnSearchSelectShow()"
70
             onmouseout="return searchBox.OnSearchSelectHide()"
71
             alt=""/>
72
        <input type="text" id="MSearchField" value="Search" accesskey="S"
73
             onfocus="searchBox.OnSearchFieldFocus(true)"
74
             onblur="searchBox.OnSearchFieldFocus(false)"
75
             onkeyup="searchBox.OnSearchFieldChange(event)"/>
76
        <a id="MSearchClose" href="javascript:searchBox.CloseResultsWindow()"><img id="MSearchCloseImg" border="0" src="search/close.png" alt=""/></a>
77
        </div>
78
      </li>
79
    </ul>
80
  </div>
81
  <div class="tabs">
82
    <ul>
83
      <li><a href="annotated.html"><span>Class&nbsp;List</span></a></li>
84
      <li><a href="classes.html"><span>Class&nbsp;Index</span></a></li>
85
      <li><a href="hierarchy.html"><span>Class&nbsp;Hierarchy</span></a></li>
86
      <li><a href="functions.html"><span>Class&nbsp;Members</span></a></li>
87
    </ul>
88
  </div>
89
</div>
90
<div class="contents">
91
<h1>cl_syoscb_queue_iterator_std Class Reference</h1><!-- doxytag: class="cl_syoscb_queue_iterator_std" --><!-- doxytag: inherits="cl_syoscb_queue_iterator_base,cl_syoscb_queue_iterator_base" -->
92
<p>Queue iterator class defining the iterator API used for iterating std queues.
93
<a href="#_details">More...</a></p>
94
<div class="dynheader">
95
Inheritance diagram for cl_syoscb_queue_iterator_std:</div>
96
<div class="dynsection">
97
<div class="center"><img src="classcl__syoscb__queue__iterator__std__inherit__graph.png" border="0" usemap="#cl__syoscb__queue__iterator__std_inherit__map" alt="Inheritance graph"/></div>
98
<map name="cl__syoscb__queue__iterator__std_inherit__map" id="cl__syoscb__queue__iterator__std_inherit__map">
99
<area shape="rect" id="node2" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues." alt="" coords="5,5,237,35"/></map>
100
<center><span class="legend">[<a href="graph_legend.html">legend</a>]</span></center></div>
101
<div class="dynheader">
102
Collaboration diagram for cl_syoscb_queue_iterator_std:</div>
103
<div class="dynsection">
104
<div class="center"><img src="classcl__syoscb__queue__iterator__std__coll__graph.png" border="0" usemap="#cl__syoscb__queue__iterator__std_coll__map" alt="Collaboration graph"/></div>
105
<map name="cl__syoscb__queue__iterator__std_coll__map" id="cl__syoscb__queue__iterator__std_coll__map">
106
<area shape="rect" id="node2" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues." alt="" coords="111,5,343,35"/><area shape="rect" id="node6" href="classcl__syoscb__queue.html" title="Class which base concet of a queue." alt="" coords="251,101,384,131"/><area shape="rect" id="node4" href="classcl__syoscb__cfg.html" title="Configuration class for the SyoSil UVM scoreboard." alt="" coords="333,197,448,227"/></map>
107
<center><span class="legend">[<a href="graph_legend.html">legend</a>]</span></center></div>
108
 
109
<p><a href="classcl__syoscb__queue__iterator__std-members.html">List of all members.</a></p>
110
<table border="0" cellpadding="0" cellspacing="0">
111
<tr><td colspan="2"><h2>Public Member Functions</h2></td></tr>
112
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a1d541f020eec023d6ef261af533c5cfe"></a><!-- doxytag: member="cl_syoscb_queue_iterator_std::previous" ref="a1d541f020eec023d6ef261af533c5cfe" args="()" -->
113
virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__std.html#a1d541f020eec023d6ef261af533c5cfe">previous</a> ()</td></tr>
114
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> See <a class="el" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues.">cl_syoscb_queue_iterator_base</a> for details <br/></td></tr>
115
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a58ca395f3020e094a9b467ceb77f8824"></a><!-- doxytag: member="cl_syoscb_queue_iterator_std::first" ref="a58ca395f3020e094a9b467ceb77f8824" args="()" -->
116
virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__std.html#a58ca395f3020e094a9b467ceb77f8824">first</a> ()</td></tr>
117
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> See <a class="el" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues.">cl_syoscb_queue_iterator_base</a> for details <br/></td></tr>
118
<tr><td class="memItemLeft" align="right" valign="top">virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__std.html#af407a396c06a4da3934f64e25641a5a8">last</a> ()</td></tr>
119
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> Moves the iterator to the last item in the queue.  <a href="#af407a396c06a4da3934f64e25641a5a8"></a><br/></td></tr>
120
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a7ea9b4bab944e55369f9eb92caf2c468"></a><!-- doxytag: member="cl_syoscb_queue_iterator_std::get_idx" ref="a7ea9b4bab944e55369f9eb92caf2c468" args="()" -->
121
virtual int unsigned&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__std.html#a7ea9b4bab944e55369f9eb92caf2c468">get_idx</a> ()</td></tr>
122
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> See <a class="el" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues.">cl_syoscb_queue_iterator_base</a> for details <br/></td></tr>
123
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="ab3965dd048dceb3907c12bdcb2828890"></a><!-- doxytag: member="cl_syoscb_queue_iterator_std::get_item" ref="ab3965dd048dceb3907c12bdcb2828890" args="()" -->
124
virtual <a class="el" href="classcl__syoscb__item.html">cl_syoscb_item</a>&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__std.html#ab3965dd048dceb3907c12bdcb2828890">get_item</a> ()</td></tr>
125
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> See <a class="el" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues.">cl_syoscb_queue_iterator_base</a> for details <br/></td></tr>
126
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a80774408e410cafb645abf56eea28580"></a><!-- doxytag: member="cl_syoscb_queue_iterator_std::is_done" ref="a80774408e410cafb645abf56eea28580" args="()" -->
127
virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__std.html#a80774408e410cafb645abf56eea28580">is_done</a> ()</td></tr>
128
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> See <a class="el" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues.">cl_syoscb_queue_iterator_base</a> for details <br/></td></tr>
129
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a5602156978b849fdf81d8fed97cc0907"></a><!-- doxytag: member="cl_syoscb_queue_iterator_std::set_queue" ref="a5602156978b849fdf81d8fed97cc0907" args="(cl_syoscb_queue owner)" -->
130
virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__std.html#a5602156978b849fdf81d8fed97cc0907">set_queue</a> (<a class="el" href="classcl__syoscb__queue.html">cl_syoscb_queue</a> <a class="el" href="classcl__syoscb__queue__iterator__base.html#a7d2e751dbeb1921dfe580d61548f5aa2">owner</a>)</td></tr>
131
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> See <a class="el" href="classcl__syoscb__queue__iterator__base.html" title="Queue iterator base class defining the iterator API used for iterating queues.">cl_syoscb_queue_iterator_base</a> for details <br/></td></tr>
132
<tr><td class="memItemLeft" align="right" valign="top">virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__std.html#a5a9b9a20120762dcaba759dc58cc581c">previous</a> ()</td></tr>
133
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> Moves the iterator to the previous item in the queue.  <a href="#a5a9b9a20120762dcaba759dc58cc581c"></a><br/></td></tr>
134
<tr><td class="memItemLeft" align="right" valign="top">virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__std.html#a194d4841ff99b229c02ddf5e28378c9c">first</a> ()</td></tr>
135
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> Moves the iterator to the first item in the queue.  <a href="#a194d4841ff99b229c02ddf5e28378c9c"></a><br/></td></tr>
136
<tr><td class="memItemLeft" align="right" valign="top">virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__std.html#aabf4f51b56006b8815d9d13fb4ef4501">last</a> ()</td></tr>
137
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> Moves the iterator to the last item in the queue.  <a href="#aabf4f51b56006b8815d9d13fb4ef4501"></a><br/></td></tr>
138
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="aa630d0530120f3e6115fe91d05bf8bda"></a><!-- doxytag: member="cl_syoscb_queue_iterator_std::get_idx" ref="aa630d0530120f3e6115fe91d05bf8bda" args="()" -->
139
virtual int unsigned&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__std.html#aa630d0530120f3e6115fe91d05bf8bda">get_idx</a> ()</td></tr>
140
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> Returns the current index <br/></td></tr>
141
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a3205bbf156b497cd150fe0d239b40cb0"></a><!-- doxytag: member="cl_syoscb_queue_iterator_std::get_item" ref="a3205bbf156b497cd150fe0d239b40cb0" args="()" -->
142
virtual <a class="el" href="classcl__syoscb__item.html">cl_syoscb_item</a>&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__std.html#a3205bbf156b497cd150fe0d239b40cb0">get_item</a> ()</td></tr>
143
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> Returns the current <a class="el" href="classcl__syoscb__item.html" title="The UVM scoreboard item.">cl_syoscb_item</a> object at the current index <br/></td></tr>
144
<tr><td class="memItemLeft" align="right" valign="top">virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__std.html#ad1cd9e922990d25eaa231f9069adc31f">is_done</a> ()</td></tr>
145
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> Returns 1'b0 as long as the iterator has not reached the end.  <a href="#ad1cd9e922990d25eaa231f9069adc31f"></a><br/></td></tr>
146
<tr><td class="memItemLeft" align="right" valign="top"><a class="anchor" id="a4683eeb737bb2ec333a853d0de965622"></a><!-- doxytag: member="cl_syoscb_queue_iterator_std::set_queue" ref="a4683eeb737bb2ec333a853d0de965622" args="(cl_syoscb_queue owner)" -->
147
virtual bit&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classcl__syoscb__queue__iterator__std.html#a4683eeb737bb2ec333a853d0de965622">set_queue</a> (<a class="el" href="classcl__syoscb__queue.html">cl_syoscb_queue</a> <a class="el" href="classcl__syoscb__queue__iterator__base.html#a7d2e751dbeb1921dfe580d61548f5aa2">owner</a>)</td></tr>
148
<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight"><b>Iterator API:</b> Sets releated queue <br/></td></tr>
149
</table>
150
<hr/><a name="_details"></a><h2>Detailed Description</h2>
151
<p>Queue iterator class defining the iterator API used for iterating std queues. </p>
152
 
153
<p>Definition at line <a class="el" href="cl__syoscb__queue__iterator__std_8svh_source.html#l00002">2</a> of file <a class="el" href="cl__syoscb__queue__iterator__std_8svh_source.html">cl_syoscb_queue_iterator_std.svh</a>.</p>
154
<hr/><h2>Member Function Documentation</h2>
155
<a class="anchor" id="a194d4841ff99b229c02ddf5e28378c9c"></a><!-- doxytag: member="cl_syoscb_queue_iterator_std::first" ref="a194d4841ff99b229c02ddf5e28378c9c" args="()" -->
156
<div class="memitem">
157
<div class="memproto">
158
      <table class="memname">
159
        <tr>
160
          <td class="memname">virtual bit cl_syoscb_queue_iterator_std::first </td>
161
          <td>(</td>
162
          <td class="paramname"></td>
163
          <td>&nbsp;)&nbsp;</td>
164
          <td><code> [virtual]</code></td>
165
        </tr>
166
      </table>
167
</div>
168
<div class="memdoc">
169
 
170
<p><b>Iterator API:</b> Moves the iterator to the first item in the queue. </p>
171
<p>It shall return 1'b0 if there is no first item (Queue is empty). </p>
172
 
173
<p>Reimplemented from <a class="el" href="classcl__syoscb__queue__iterator__base.html#a7f9050d9599104fd1f0c74764733c9a8">cl_syoscb_queue_iterator_base</a>.</p>
174
 
175
</div>
176
</div>
177
<a class="anchor" id="ad1cd9e922990d25eaa231f9069adc31f"></a><!-- doxytag: member="cl_syoscb_queue_iterator_std::is_done" ref="ad1cd9e922990d25eaa231f9069adc31f" args="()" -->
178
<div class="memitem">
179
<div class="memproto">
180
      <table class="memname">
181
        <tr>
182
          <td class="memname">virtual bit cl_syoscb_queue_iterator_std::is_done </td>
183
          <td>(</td>
184
          <td class="paramname"></td>
185
          <td>&nbsp;)&nbsp;</td>
186
          <td><code> [virtual]</code></td>
187
        </tr>
188
      </table>
189
</div>
190
<div class="memdoc">
191
 
192
<p><b>Iterator API:</b> Returns 1'b0 as long as the iterator has not reached the end. </p>
193
<p>When the iterator has reached the end then it returns 1'b1. </p>
194
 
195
<p>Reimplemented from <a class="el" href="classcl__syoscb__queue__iterator__base.html#ade166e2730e4d2b53b4b17042bb15df1">cl_syoscb_queue_iterator_base</a>.</p>
196
 
197
</div>
198
</div>
199
<a class="anchor" id="aabf4f51b56006b8815d9d13fb4ef4501"></a><!-- doxytag: member="cl_syoscb_queue_iterator_std::last" ref="aabf4f51b56006b8815d9d13fb4ef4501" args="()" -->
200
<div class="memitem">
201
<div class="memproto">
202
      <table class="memname">
203
        <tr>
204
          <td class="memname">virtual bit cl_syoscb_queue_iterator_std::last </td>
205
          <td>(</td>
206
          <td class="paramname"></td>
207
          <td>&nbsp;)&nbsp;</td>
208
          <td><code> [virtual]</code></td>
209
        </tr>
210
      </table>
211
</div>
212
<div class="memdoc">
213
 
214
<p><b>Iterator API:</b> Moves the iterator to the last item in the queue. </p>
215
<p>It shall return 1'b0 if there is no last item (Queue is empty). </p>
216
 
217
<p>Reimplemented from <a class="el" href="classcl__syoscb__queue__iterator__base.html#af89041d1ae55146e3a9ff2f68d861ece">cl_syoscb_queue_iterator_base</a>.</p>
218
 
219
</div>
220
</div>
221
<a class="anchor" id="af407a396c06a4da3934f64e25641a5a8"></a><!-- doxytag: member="cl_syoscb_queue_iterator_std::last" ref="af407a396c06a4da3934f64e25641a5a8" args="()" -->
222
<div class="memitem">
223
<div class="memproto">
224
      <table class="memname">
225
        <tr>
226
          <td class="memname">bit cl_syoscb_queue_iterator_std::last </td>
227
          <td>(</td>
228
          <td class="paramname"></td>
229
          <td>&nbsp;)&nbsp;</td>
230
          <td><code> [virtual]</code></td>
231
        </tr>
232
      </table>
233
</div>
234
<div class="memdoc">
235
 
236
<p><b>Iterator API:</b> Moves the iterator to the last item in the queue. </p>
237
<p>It shall return 1'b0 if there is no last item (Queue is empty). </p>
238
 
239
<p>Reimplemented from <a class="el" href="classcl__syoscb__queue__iterator__base.html#af89041d1ae55146e3a9ff2f68d861ece">cl_syoscb_queue_iterator_base</a>.</p>
240
 
241
<p>Definition at line <a class="el" href="cl__syoscb__queue__iterator__std_8svh_source.html#l00062">62</a> of file <a class="el" href="cl__syoscb__queue__iterator__std_8svh_source.html">cl_syoscb_queue_iterator_std.svh</a>.</p>
242
 
243
</div>
244
</div>
245
<a class="anchor" id="a5a9b9a20120762dcaba759dc58cc581c"></a><!-- doxytag: member="cl_syoscb_queue_iterator_std::previous" ref="a5a9b9a20120762dcaba759dc58cc581c" args="()" -->
246
<div class="memitem">
247
<div class="memproto">
248
      <table class="memname">
249
        <tr>
250
          <td class="memname">virtual bit cl_syoscb_queue_iterator_std::previous </td>
251
          <td>(</td>
252
          <td class="paramname"></td>
253
          <td>&nbsp;)&nbsp;</td>
254
          <td><code> [virtual]</code></td>
255
        </tr>
256
      </table>
257
</div>
258
<div class="memdoc">
259
 
260
<p><b>Iterator API:</b> Moves the iterator to the previous item in the queue. </p>
261
<p>It shall return 1'b0 if there is no previous item, e.g. when it is either empty or the iterator has reached the very beginning of the queue. </p>
262
 
263
<p>Reimplemented from <a class="el" href="classcl__syoscb__queue__iterator__base.html#afc2b8985620b740ee74ff7888df9f76f">cl_syoscb_queue_iterator_base</a>.</p>
264
 
265
</div>
266
</div>
267
<hr/>The documentation for this class was generated from the following file:<ul>
268
<li><a class="el" href="cl__syoscb__queue__iterator__std_8svh_source.html">cl_syoscb_queue_iterator_std.svh</a></li>
269
</ul>
270
</div>
271
<!--- window showing the filter options -->
272
<div id="MSearchSelectWindow"
273
     onmouseover="return searchBox.OnSearchSelectShow()"
274
     onmouseout="return searchBox.OnSearchSelectHide()"
275
     onkeydown="return searchBox.OnSearchSelectKey(event)">
276
<a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(0)"><span class="SelectionMark">&nbsp;</span>All</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(1)"><span class="SelectionMark">&nbsp;</span>Classes</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(2)"><span class="SelectionMark">&nbsp;</span>Functions</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(3)"><span class="SelectionMark">&nbsp;</span>Variables</a></div>
277
 
278
<!-- iframe showing the search results (closed by default) -->
279
<div id="MSearchResultsWindow">
280
<iframe src="" frameborder="0"
281
        name="MSearchResults" id="MSearchResults">
282
</iframe>
283
</div>
284
 
285
<!--*************************************************************************-->
286
<!-- $Id: idv_dox_footer.html 136 2010-05-31 19:13:27Z seanoboyle $          -->
287
<!--*************************************************************************-->
288
<!--   This program is free software: you can redistribute it and/or modify  -->
289
<!--   it under the terms of the GNU General Public License as published by  -->
290
<!--   the Free Software Foundation, either version 3 of the License, or     -->
291
<!--   (at your option) any later version.                                   -->
292
<!--                                                                         -->
293
<!--   This program is distributed in the hope that it will be useful,       -->
294
<!--   but WITHOUT ANY WARRANTY; without even the implied warranty of        -->
295
<!--   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the         -->
296
<!--   GNU General Public License for more details.                          -->
297
<!--                                                                         -->
298
<!--   You should have received a copy of the GNU General Public License     -->
299
<!--   along with this program.  If not, see http://www.gnu.org/licenses/.   -->
300
<!--                                                                         -->
301
<!--*************************************************************************-->
302
<!-- Title:        IDV Doxygen Footer File                                   -->
303
<!-- Description:  This file is a doxygen footer with the IDV logo and a     -->
304
<!--               and a reference to the GNU FDL License.                   -->
305
<!--                                                                         -->
306
<!-- Original Author: Sean O'Boyle                                           -->
307
<!-- Contact:         seanoboyle@intelligentdv.com                           -->
308
<!-- Company:         Intelligent Design Verification                        -->
309
<!-- Company URL:     http://intelligentdv.com                               -->
310
<!--                                                                         -->
311
<!-- Download the most recent version here:                                  -->
312
<!--                  http://intelligentdv.com/downloads                     -->
313
<!--                                                                         -->
314
<!-- File Bugs Here:  http://bugs.intelligentdv.com                          -->
315
<!--        Project:  DoxygenFilterSV                                        -->
316
<!--                                                                         -->
317
<!-- File: idv_dox_header.xml                                                -->
318
<!-- $LastChangedBy: seanoboyle $                                            -->
319
<!-- $LastChangedDate: 2010-05-31 12:13:27 -0700 (Mon, 31 May 2010) $        -->
320
<!-- $LastChangedRevision: 136 $                                             -->
321
<!--                                                                         -->
322
<!--*************************************************************************-->
323
 
324
<br>
325
<table border="1" width = "100%">
326
  <tr>
327
    <td width = "20%">
328
     <img src="syosil.jpg">
329
    </td>
330
    <td width = "60%">
331
       <address style="text-align: center;">
332
       Project: SyoSil ApS UVM Scoreboard, Revision: 1.0.2.5<br>
333
       <br>
334
       Copyright 2014-2015 SyoSil ApS<br>
335
       All Rights Reserved Worldwide<br>
336
       <br>
337
      Licensed under the Apache License, Version 2.0 (the "License"); you may not
338
      use this file except in compliance with the License.  You may obtain a copy of
339
      the License at<br>
340
      <br>
341
       <a href="http://www.apache.org/licenses/LICENSE-2.0">http://www.apache.org/licenses/LICENSE-2.0</a><br>
342
      <br>
343
      Unless required by applicable law or agreed to in writing, software distributed under the License is
344
      distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
345
      implied. See the License for the specific language governing permissions and limitations under
346
      the License.
347
      </address>
348
    </td>
349
    <td width = "20%">
350
      <address style="text-align: right;"><small>
351
      <a href="http://www.doxygen.org/index.html"><img src="doxygen.png" alt="doxygen" align="middle" border="0"></a><br>
352
      <a href="http://www.doxygen.org/index.html">Doxygen</a> Version: 1.6.1<br>
353
      <a href="http://www.intelligentdv.com/index.html">IDV SV Filter</a> Version: 2.6.2<br>
354
      Sat Nov 28 05:41:55 2015</small></address>
355
   </td>
356
  </tr>
357
</table>
358
<address style="text-align: left;"><small>
359
Find a documentation bug?  Report bugs to: <a href="http://bugs.intelligentdv.com/">bugs.intelligentdv.com</a> Project: DoxygenFilterSV
360
</small></address>
361
</body>
362
</html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.