OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [uvm_syoscb/] [src/] [cl_syoscb_cfg_pl.svh] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
//----------------------------------------------------------------------
2
//   Copyright 2014-2015 SyoSil ApS
3
//   All Rights Reserved Worldwide
4
//
5
//   Licensed under the Apache License, Version 2.0 (the
6
//   "License"); you may not use this file except in
7
//   compliance with the License.  You may obtain a copy of
8
//   the License at
9
//
10
//       http://www.apache.org/licenses/LICENSE-2.0
11
//
12
//   Unless required by applicable law or agreed to in
13
//   writing, software distributed under the License is
14
//   distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR
15
//   CONDITIONS OF ANY KIND, either express or implied.  See
16
//   the License for the specific language governing
17
//   permissions and limitations under the License.
18
//----------------------------------------------------------------------
19
class cl_syoscb_cfg_pl extends uvm_object;
20
  //-------------------------------------
21
  // Non randomizable member variables
22
  //-------------------------------------
23
  string list[];
24
 
25
  //-------------------------------------
26
  // UVM Macros
27
  //-------------------------------------
28
  `uvm_object_utils_begin(cl_syoscb_cfg_pl)
29
    `uvm_field_array_string(list, UVM_DEFAULT)
30
  `uvm_object_utils_end
31
 
32
  //-------------------------------------
33
  // Constructor
34
  //-------------------------------------
35
  extern function new(string name = "cl_syoscb_cfg_pl");
36
 
37
  //-------------------------------------
38
  // Class methods
39
  //-------------------------------------
40
  extern function void set_list(string list[]);
41
endclass: cl_syoscb_cfg_pl
42
 
43
function cl_syoscb_cfg_pl::new(string name = "cl_syoscb_cfg_pl");
44
   super.new(name);
45
endfunction : new
46
 
47
function void cl_syoscb_cfg_pl::set_list(string list[]);
48
   this.list = list;
49
endfunction: set_list

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.