OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [uvm_syoscb/] [src/] [syoscb_vc.mk] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
#######################################################################
2
#   Copyright 2014-2015 SyoSil ApS
3
#   All Rights Reserved Worldwide
4
#
5
#   Licensed under the Apache License, Version 2.0 (the
6
#   "License"); you may not use this file except in
7
#   compliance with the License.  You may obtain a copy of
8
#   the License at
9
#
10
#       http://www.apache.org/licenses/LICENSE-2.0
11
#
12
#   Unless required by applicable law or agreed to in
13
#   writing, software distributed under the License is
14
#   distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR
15
#   CONDITIONS OF ANY KIND, either express or implied.  See
16
#   the License for the specific language governing
17
#   permissions and limitations under the License.
18
#######################################################################
19
syoscb_DEPS :=
20
 
21
syoscb_SRC := $(VC_DIR)/pk_syoscb.sv
22
 
23
syoscb_INC_DIR := $(VC_DIR)
24
 
25
syoscb_VLOG_OPTS :=
26
 
27
# Simple rule to capture the package dependency on the included files
28
$(VC_DIR)/pk_syoscb.sv : $(VC_DIR)/cl_syoscb_cfg_pl.svh \
29
                         $(VC_DIR)/cl_syoscb_cfg.svh \
30
                         $(VC_DIR)/cl_syoscb_compare_base.svh \
31
                         $(VC_DIR)/cl_syoscb_compare.svh \
32
                         $(VC_DIR)/cl_syoscb_compare_ooo.svh \
33
                         $(VC_DIR)/cl_syoscb_compare_io.svh \
34
                         $(VC_DIR)/cl_syoscb_item.svh \
35
                         $(VC_DIR)/cl_syoscb_queue.svh \
36
                         $(VC_DIR)/cl_syoscb_queue_std.svh \
37
                         $(VC_DIR)/cl_syoscb_queue_iterator_base.svh \
38
                         $(VC_DIR)/cl_syoscb_queue_iterator_std.svh \
39
                         $(VC_DIR)/cl_syoscb.svh
40
        @touch $(VC_DIR)/pk_syoscb.sv
41
 
42
#############################################################################
43
# Common targets
44
#############################################################################
45
.PHONY: help_syoscb_vc_common
46
help_syoscb_vc_common:
47
        @echo "########## VC: syoscb_vc targets ############"
48
        @echo ""
49
 
50
#############################################################################
51
# Mentor targets
52
#############################################################################
53
ifeq ($(VENDOR),MENTOR)
54
.PHONY : compile_syoscb_vc
55
compile_syoscb_vc : $(COMPILE_DIR)/syoscb_vc/compiled_vc
56
 
57
$(COMPILE_DIR)/syoscb_vc/compiled_vc: $(syoscb_SRC) $(foreach dep,$(syoscb_DEPS),$(dep)/compiled_vc) \
58
                                    | $(COMPILE_DIR)/syoscb_vc
59
        $(VLOG) -work $(COMPILE_DIR)/syoscb_vc  $(VLOG_OPTS) $(syoscb_VLOG_OPTS) \
60
          $(foreach lib,$(syoscb_DEPS), -L $(lib)) \
61
          $(foreach inc_dir,$(syoscb_INC_DIR),+incdir+$(inc_dir)) \
62
          $(syoscb_SRC)
63
        @touch $@
64
 
65
$(COMPILE_DIR)/syoscb_vc : | $(COMPILE_DIR)
66
        $(VLIB) $(COMPILE_DIR)/syoscb_vc
67
 
68
.PHONY: help_syoscb_vc
69
help_syoscb_vc: help_syoscb_vc_common
70
        @echo "  TARGET: compile_syoscb_vc"
71
        @echo "  Shortcut to compile SyoSCB VC"
72
        @echo ""
73
        @echo "  TARGET: $(COMPILE_DIR)/syoscb_vc"
74
        @echo "  Create vlib"
75
        @echo ""
76
        @echo "  TARGET: $(COMPILE_DIR)/syoscb_vc/compiled_vc"
77
        @echo "  Compile SyoSCB VC"
78
        @echo ""
79
endif
80
 
81
#############################################################################
82
# Cadence targets
83
#############################################################################
84
ifeq ($(VENDOR),CADENCE)
85
.PHONY: help_syoscb_vc
86
help_syoscb_vc: help_syoscb_vc_common
87
        @echo "  No targets available"
88
        @echo ""
89
endif
90
 
91
#############################################################################
92
# Synopsys targets
93
#############################################################################
94
ifeq ($(VENDOR),SYNOPSYS)
95
.PHONY : compile_syoscb_vc
96
compile_syoscb_vc: $(syoscb_SRC) synopsys_uvm
97
        vlogan -ntb_opts uvm-$(UVM_VERSION) -sverilog $(VLOG_OPTS) \
98
          $(foreach inc_dir,$(syoscb_INC_DIR),+incdir+$(inc_dir)) \
99
          $(syoscb_SRC)
100
 
101
.PHONY: help_syoscb_vc
102
help_syoscb_vc: help_syoscb_vc_common
103
        @echo "  TARGET: compile_syoscb_vc"
104
        @echo "  Compile SyoSCB VC"
105
        @echo ""
106
endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.