OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [uvm_syoscb/] [tb/] [cl_scbtest_seq_item.svh] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
//----------------------------------------------------------------------
2
//   Copyright 2014-2015 SyoSil ApS
3
//   All Rights Reserved Worldwide
4
//
5
//   Licensed under the Apache License, Version 2.0 (the
6
//   "License"); you may not use this file except in
7
//   compliance with the License.  You may obtain a copy of
8
//   the License at
9
//
10
//       http://www.apache.org/licenses/LICENSE-2.0
11
//
12
//   Unless required by applicable law or agreed to in
13
//   writing, software distributed under the License is
14
//   distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR
15
//   CONDITIONS OF ANY KIND, either express or implied.  See
16
//   the License for the specific language governing
17
//   permissions and limitations under the License.
18
//----------------------------------------------------------------------
19
class cl_scbtest_seq_item extends uvm_sequence_item;
20
  //-------------------------------------
21
  // Randomizable variables
22
  //-------------------------------------
23
  rand int unsigned int_a;
24
 
25
  //-------------------------------------
26
  // UVM Macros
27
  //-------------------------------------
28
  `uvm_object_utils_begin(cl_scbtest_seq_item)
29
    `uvm_field_int(int_a, UVM_ALL_ON)
30
  `uvm_object_utils_end
31
 
32
  extern function new (string name = "cl_scbtest_seq_item");
33
endclass: cl_scbtest_seq_item
34
 
35
function cl_scbtest_seq_item::new (string name = "cl_scbtest_seq_item");
36
   super.new(name);
37
endfunction

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.