OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [uvm_syoscb/] [tb/] [pk_scbtest.sv] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
//----------------------------------------------------------------------
2
//   Copyright 2014-2015 SyoSil ApS
3
//   All Rights Reserved Worldwide
4
//
5
//   Licensed under the Apache License, Version 2.0 (the
6
//   "License"); you may not use this file except in
7
//   compliance with the License.  You may obtain a copy of
8
//   the License at
9
//
10
//       http://www.apache.org/licenses/LICENSE-2.0
11
//
12
//   Unless required by applicable law or agreed to in
13
//   writing, software distributed under the License is
14
//   distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR
15
//   CONDITIONS OF ANY KIND, either express or implied.  See
16
//   the License for the specific language governing
17
//   permissions and limitations under the License.
18
//----------------------------------------------------------------------
19
`ifndef __PK_SCBTEST_SV__
20
`define __PK_SCBTEST_SV__
21
 
22
package pk_scbtest;
23
 
24
  import uvm_pkg::*;
25
  import pk_syoscb::*;
26
 
27
  `include "uvm_macros.svh"
28
  `include "cl_scbtest_env.svh"
29
  `include "cl_scbtest_seq_item.svh"
30
  `include "cl_scbtest_test_base.svh"
31
  `include "cl_scbtest_test_ooo_simple.svh"
32
  `include "cl_scbtest_test_io_simple.svh"
33
  `include "cl_scbtest_test_iop_simple.svh"
34
  `include "cl_scbtest_test_ooo_heavy.svh"
35
  `include "cl_scbtest_test_ooo_tlm.svh"
36
  `include "cl_scbtest_test_ooo_gp.svh"
37
  `include "cl_scbtest_test_ooo_io_simple.svh"
38
 
39
endpackage: pk_scbtest
40
 
41
`endif // __PK_SCBTEST_SV__
42
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.