OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [uvm_syoscb/] [tb/] [test/] [cl_scbtest_test_base.svh] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vladimirar
//----------------------------------------------------------------------
2
//   Copyright 2014-2015 SyoSil ApS
3
//   All Rights Reserved Worldwide
4
//
5
//   Licensed under the Apache License, Version 2.0 (the
6
//   "License"); you may not use this file except in
7
//   compliance with the License.  You may obtain a copy of
8
//   the License at
9
//
10
//       http://www.apache.org/licenses/LICENSE-2.0
11
//
12
//   Unless required by applicable law or agreed to in
13
//   writing, software distributed under the License is
14
//   distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR
15
//   CONDITIONS OF ANY KIND, either express or implied.  See
16
//   the License for the specific language governing
17
//   permissions and limitations under the License.
18
//----------------------------------------------------------------------
19
// *NOTES*:
20
// Base class for all SCB tests
21
 
22
class cl_scbtest_test_base extends uvm_test;
23
  //-------------------------------------
24
  // Non randomizable variables
25
  //-------------------------------------
26
  cl_scbtest_env scbtest_env;
27
 
28
  //-------------------------------------
29
  // UVM Macros
30
  //-------------------------------------
31
  `uvm_component_utils(cl_scbtest_test_base)
32
 
33
  //-------------------------------------
34
  // Constructor
35
  //-------------------------------------
36
  extern function new(string name = "cl_scbtest_test_base", uvm_component parent = null);
37
 
38
  //-------------------------------------
39
  // UVM Phase methods
40
  //-------------------------------------
41
  extern virtual function void build_phase(uvm_phase phase);
42
endclass : cl_scbtest_test_base
43
 
44
function cl_scbtest_test_base::new(string name = "cl_scbtest_test_base", uvm_component parent = null);
45
   super.new(name, parent);
46
endfunction : new
47
 
48
function void cl_scbtest_test_base::build_phase(uvm_phase phase);
49
   super.build_phase(phase);
50
   scbtest_env = cl_scbtest_env::type_id::create("scbtest_env", this);
51
endfunction: build_phase

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.