OpenCores
URL https://opencores.org/ocsvn/lwrisc/lwrisc/trunk

Subversion Repositories lwrisc

[/] [lwrisc/] [trunk/] [RTL/] [altera/] [ram128x8.v] - Blame information for rev 19

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 mcupro
// ============================================================
2
// CNX file retrieval info
3
// ============================================================
4
// Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
5
// Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "2"
6
// Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
7
// Retrieval info: PRIVATE: VarWidth NUMERIC "1"
8
// Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "12"
9
// Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "12"
10
// Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "12"
11
// Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "12"
12
// Retrieval info: PRIVATE: MEMSIZE NUMERIC "24576"
13
// Retrieval info: PRIVATE: Clock NUMERIC "0"
14
// Retrieval info: PRIVATE: rden NUMERIC "0"
15
// Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
16
// Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
17
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
18
// Retrieval info: PRIVATE: Clock_A NUMERIC "0"
19
// Retrieval info: PRIVATE: Clock_B NUMERIC "0"
20
// Retrieval info: PRIVATE: REGdata NUMERIC "1"
21
// Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
22
// Retrieval info: PRIVATE: REGwren NUMERIC "1"
23
// Retrieval info: PRIVATE: REGrdaddress NUMERIC "1"
24
// Retrieval info: PRIVATE: REGrren NUMERIC "1"
25
// Retrieval info: PRIVATE: REGq NUMERIC "1"
26
// Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "0"
27
// Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "0"
28
// Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0"
29
// Retrieval info: PRIVATE: CLRdata NUMERIC "0"
30
// Retrieval info: PRIVATE: CLRwren NUMERIC "0"
31
// Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
32
// Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
33
// Retrieval info: PRIVATE: CLRrren NUMERIC "0"
34
// Retrieval info: PRIVATE: CLRq NUMERIC "0"
35
// Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
36
// Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
37
// Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
38
// Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
39
// Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
40
// Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
41
// Retrieval info: PRIVATE: enable NUMERIC "0"
42
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
43
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
44
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0"
45
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0"
46
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
47
// Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
48
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2"
49
// Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
50
// Retrieval info: PRIVATE: MIFfilename STRING "init_rom.mif"
51
// Retrieval info: PRIVATE: UseLCs NUMERIC "0"
52
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
53
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
54
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_B"
55
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
56
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
57
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
58
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
59
// Retrieval info: CONSTANT: OPERATION_MODE STRING "DUAL_PORT"
60
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "12"
61
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "11"
62
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "2048"
63
// Retrieval info: CONSTANT: WIDTH_B NUMERIC "12"
64
// Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "11"
65
// Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "2048"
66
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
67
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
68
// Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED"
69
// Retrieval info: CONSTANT: INDATA_ACLR_A STRING "NONE"
70
// Retrieval info: CONSTANT: WRCONTROL_ACLR_A STRING "NONE"
71
// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE"
72
// Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0"
73
// Retrieval info: CONSTANT: ADDRESS_ACLR_B STRING "NONE"
74
// Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE"
75
// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "DONT_CARE"
76
// Retrieval info: CONSTANT: INIT_FILE STRING "init_rom.mif"
77
// Retrieval info: CONSTANT: INIT_FILE_LAYOUT STRING "PORT_B"
78
// Retrieval info: USED_PORT: data 0 0 12 0 INPUT NODEFVAL data[11..0]
79
// Retrieval info: USED_PORT: wren 0 0 0 0 INPUT VCC wren
80
// Retrieval info: USED_PORT: q 0 0 12 0 OUTPUT NODEFVAL q[11..0]
81
// Retrieval info: USED_PORT: wraddress 0 0 11 0 INPUT NODEFVAL wraddress[10..0]
82
// Retrieval info: USED_PORT: rdaddress 0 0 11 0 INPUT NODEFVAL rdaddress[10..0]
83
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
84
// Retrieval info: CONNECT: @data_a 0 0 12 0 data 0 0 12 0
85
// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
86
// Retrieval info: CONNECT: q 0 0 12 0 @q_b 0 0 12 0
87
// Retrieval info: CONNECT: @address_a 0 0 11 0 wraddress 0 0 11 0
88
// Retrieval info: CONNECT: @address_b 0 0 11 0 rdaddress 0 0 11 0
89
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
90
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
91
// Retrieval info: GEN_FILE: TYPE_NORMAL alt_ram.v TRUE
92
// Retrieval info: GEN_FILE: TYPE_NORMAL alt_ram.inc FALSE
93
// Retrieval info: GEN_FILE: TYPE_NORMAL alt_ram.cmp FALSE
94
// Retrieval info: GEN_FILE: TYPE_NORMAL alt_ram.bsf FALSE
95
// Retrieval info: GEN_FILE: TYPE_NORMAL alt_ram_inst.v FALSE
96
// Retrieval info: GEN_FILE: TYPE_NORMAL alt_ram_bb.v TRUE
97
// Retrieval info: GEN_FILE: TYPE_NORMAL alt_ram_waveforms.html TRUE
98
// Retrieval info: GEN_FILE: TYPE_NORMAL alt_ram_wave*.jpg FALSE
99
 
100
 
101
 
102
 
103
// megafunction wizard: %RAM: 2-PORT%
104
// GENERATION: STANDARD
105
// VERSION: WM1.0
106
// MODULE: altsyncram
107
 
108
// ============================================================
109
// File Name: ram128x8.v
110
// Megafunction Name(s):
111
//                      altsyncram
112
// ============================================================
113
// ************************************************************
114
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
115
//
116
// 4.2 Build 157 12/07/2004 SJ Full Version
117
// ************************************************************
118
 
119
 
120
//Copyright (C) 1991-2004 Altera Corporation
121
//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
122
//support information,  device programming or simulation file,  and any other
123
//associated  documentation or information  provided by  Altera  or a partner
124
//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
125
//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
126
//other  use  of such  megafunction  design,  netlist,  support  information,
127
//device programming or simulation file,  or any other  related documentation
128
//or information  is prohibited  for  any  other purpose,  including, but not
129
//limited to  modification,  reverse engineering,  de-compiling, or use  with
130
//any other  silicon devices,  unless such use is  explicitly  licensed under
131
//a separate agreement with  Altera  or a megafunction partner.  Title to the
132
//intellectual property,  including patents,  copyrights,  trademarks,  trade
133
//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
134
//support  information,  device programming or simulation file,  or any other
135
//related documentation or information provided by  Altera  or a megafunction
136
//partner, remains with Altera, the megafunction partner, or their respective
137
//licensors. No other licenses, including any licenses needed under any third
138
//party's intellectual property, are provided herein.
139
 
140
 
141
// synopsys translate_off
142
`timescale 1 ps / 1 ps
143
// synopsys translate_on
144
module ram128x8 (
145
        data,
146
        wren,
147
        wraddress,
148
        rdaddress,
149
        clock,
150
        q);
151
 
152
    input       [7:0]  data;
153
    input         wren;
154
    input       [6:0]  wraddress;
155
    input       [6:0]  rdaddress;
156
    input         clock;
157
    output      [7:0]  q;
158
 
159
    wire [7:0] sub_wire0;
160
    wire [7:0] q = sub_wire0[7:0];
161
 
162
    altsyncram  altsyncram_component (
163
                   .wren_a (wren),
164
                   .clock0 (clock),
165
                   .address_a (wraddress),
166
                   .address_b (rdaddress),
167
                   .data_a (data),
168
                   .q_b (sub_wire0)
169
                   // synopsys translate_off
170
                   ,
171
                   .aclr0 (),
172
                   .aclr1 (),
173
                   .addressstall_a (),
174
                   .addressstall_b (),
175
                   .byteena_a (),
176
                   .byteena_b (),
177
                   .clock1 (),
178
                   .clocken0 (),
179
                   .clocken1 (),
180
                   .data_b (),
181
                   .q_a (),
182
                   .rden_b (),
183
                   .wren_b ()
184
                   // synopsys translate_on
185
               );
186
    defparam
187
        altsyncram_component.intended_device_family = "Cyclone",
188
        altsyncram_component.operation_mode = "DUAL_PORT",
189
        altsyncram_component.width_a = 8,
190
        altsyncram_component.widthad_a = 7,
191
        altsyncram_component.numwords_a = 128,
192
        altsyncram_component.width_b = 8,
193
        altsyncram_component.widthad_b = 7,
194
        altsyncram_component.numwords_b = 128,
195
        altsyncram_component.lpm_type = "altsyncram",
196
        altsyncram_component.width_byteena_a = 1,
197
        altsyncram_component.outdata_reg_b = "UNREGISTERED",
198
        altsyncram_component.indata_aclr_a = "NONE",
199
        altsyncram_component.wrcontrol_aclr_a = "NONE",
200
        altsyncram_component.address_aclr_a = "NONE",
201
        altsyncram_component.address_reg_b = "CLOCK0",
202
        altsyncram_component.address_aclr_b = "NONE",
203
        altsyncram_component.outdata_aclr_b = "NONE",
204
        altsyncram_component.read_during_write_mode_mixed_ports = "DONT_CARE";
205
 
206
 
207
endmodule
208
 
209
// ============================================================
210
// CNX file retrieval info
211
// ============================================================
212
// Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
213
// Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "2"
214
// Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
215
// Retrieval info: PRIVATE: VarWidth NUMERIC "0"
216
// Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "8"
217
// Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8"
218
// Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "8"
219
// Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8"
220
// Retrieval info: PRIVATE: MEMSIZE NUMERIC "1024"
221
// Retrieval info: PRIVATE: Clock NUMERIC "0"
222
// Retrieval info: PRIVATE: rden NUMERIC "0"
223
// Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
224
// Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
225
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
226
// Retrieval info: PRIVATE: Clock_A NUMERIC "0"
227
// Retrieval info: PRIVATE: Clock_B NUMERIC "0"
228
// Retrieval info: PRIVATE: REGdata NUMERIC "1"
229
// Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
230
// Retrieval info: PRIVATE: REGwren NUMERIC "1"
231
// Retrieval info: PRIVATE: REGrdaddress NUMERIC "1"
232
// Retrieval info: PRIVATE: REGrren NUMERIC "1"
233
// Retrieval info: PRIVATE: REGq NUMERIC "1"
234
// Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "0"
235
// Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "0"
236
// Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0"
237
// Retrieval info: PRIVATE: CLRdata NUMERIC "0"
238
// Retrieval info: PRIVATE: CLRwren NUMERIC "0"
239
// Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
240
// Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
241
// Retrieval info: PRIVATE: CLRrren NUMERIC "0"
242
// Retrieval info: PRIVATE: CLRq NUMERIC "0"
243
// Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
244
// Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
245
// Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
246
// Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
247
// Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
248
// Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
249
// Retrieval info: PRIVATE: enable NUMERIC "0"
250
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
251
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
252
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0"
253
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0"
254
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
255
// Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
256
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2"
257
// Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
258
// Retrieval info: PRIVATE: MIFfilename STRING ""
259
// Retrieval info: PRIVATE: UseLCs NUMERIC "0"
260
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
261
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
262
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_B"
263
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
264
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
265
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
266
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
267
// Retrieval info: CONSTANT: OPERATION_MODE STRING "DUAL_PORT"
268
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
269
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "7"
270
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "128"
271
// Retrieval info: CONSTANT: WIDTH_B NUMERIC "8"
272
// Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "7"
273
// Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "128"
274
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
275
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
276
// Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED"
277
// Retrieval info: CONSTANT: INDATA_ACLR_A STRING "NONE"
278
// Retrieval info: CONSTANT: WRCONTROL_ACLR_A STRING "NONE"
279
// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE"
280
// Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0"
281
// Retrieval info: CONSTANT: ADDRESS_ACLR_B STRING "NONE"
282
// Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE"
283
// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "DONT_CARE"
284
// Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL data[7..0]
285
// Retrieval info: USED_PORT: wren 0 0 0 0 INPUT VCC wren
286
// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0]
287
// Retrieval info: USED_PORT: wraddress 0 0 7 0 INPUT NODEFVAL wraddress[6..0]
288
// Retrieval info: USED_PORT: rdaddress 0 0 7 0 INPUT NODEFVAL rdaddress[6..0]
289
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
290
// Retrieval info: CONNECT: @data_a 0 0 8 0 data 0 0 8 0
291
// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
292
// Retrieval info: CONNECT: q 0 0 8 0 @q_b 0 0 8 0
293
// Retrieval info: CONNECT: @address_a 0 0 7 0 wraddress 0 0 7 0
294
// Retrieval info: CONNECT: @address_b 0 0 7 0 rdaddress 0 0 7 0
295
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
296
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
297
// Retrieval info: GEN_FILE: TYPE_NORMAL reg_file.v TRUE
298
// Retrieval info: GEN_FILE: TYPE_NORMAL reg_file.inc FALSE
299
// Retrieval info: GEN_FILE: TYPE_NORMAL reg_file.cmp FALSE
300
// Retrieval info: GEN_FILE: TYPE_NORMAL reg_file.bsf FALSE
301
// Retrieval info: GEN_FILE: TYPE_NORMAL reg_file_inst.v FALSE
302
// Retrieval info: GEN_FILE: TYPE_NORMAL reg_file_bb.v TRUE
303
// Retrieval info: GEN_FILE: TYPE_NORMAL reg_file_waveforms.html TRUE
304
// Retrieval info: GEN_FILE: TYPE_NORMAL reg_file_wave*.jpg FALSE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.