OpenCores
URL https://opencores.org/ocsvn/lwrisc/lwrisc/trunk

Subversion Repositories lwrisc

[/] [lwrisc/] [trunk/] [RTL/] [altera/] [rom32x12.v] - Blame information for rev 19

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 mcupro
// megafunction wizard: %ROM: 1-PORT%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: altsyncram 
5
 
6
// ============================================================
7
// File Name: rom32x12.v
8
// Megafunction Name(s):
9
//                      altsyncram
10
// ============================================================
11
// ************************************************************
12
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
13
//
14
// 4.2 Build 157 12/07/2004 SJ Full Version
15
// ************************************************************
16
 
17
 
18
//Copyright (C) 1991-2004 Altera Corporation
19
//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
20
//support information,  device programming or simulation file,  and any other
21
//associated  documentation or information  provided by  Altera  or a partner
22
//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
23
//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
24
//other  use  of such  megafunction  design,  netlist,  support  information,
25
//device programming or simulation file,  or any other  related documentation
26
//or information  is prohibited  for  any  other purpose,  including, but not
27
//limited to  modification,  reverse engineering,  de-compiling, or use  with
28
//any other  silicon devices,  unless such use is  explicitly  licensed under
29
//a separate agreement with  Altera  or a megafunction partner.  Title to the
30
//intellectual property,  including patents,  copyrights,  trademarks,  trade
31
//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
32
//support  information,  device programming or simulation file,  or any other
33
//related documentation or information provided by  Altera  or a megafunction
34
//partner, remains with Altera, the megafunction partner, or their respective
35
//licensors. No other licenses, including any licenses needed under any third
36
//party's intellectual property, are provided herein.
37
 
38
 
39
// synopsys translate_off
40
`timescale 1 ps / 1 ps
41
// synopsys translate_on
42
module rom32x12 (
43
        address,
44
        clock,
45
        q);
46
 
47
        input   [4:0]  address;
48
        input     clock;
49
        output  [7:0]  q;
50
 
51
        wire [7:0] sub_wire0;
52
        wire [7:0] q = sub_wire0[7:0];
53
 
54
        altsyncram      altsyncram_component (
55
                                .clock0 (clock),
56
                                .address_a (address),
57
                                .q_a (sub_wire0)
58
                                // synopsys translate_off
59
                                ,
60
                                .aclr0 (),
61
                                .aclr1 (),
62
                                .address_b (),
63
                                .addressstall_a (),
64
                                .addressstall_b (),
65
                                .byteena_a (),
66
                                .byteena_b (),
67
                                .clock1 (),
68
                                .clocken0 (),
69
                                .clocken1 (),
70
                                .data_a (),
71
                                .data_b (),
72
                                .q_b (),
73
                                .rden_b (),
74
                                .wren_a (),
75
                                .wren_b ()
76
                                // synopsys translate_on
77
                                );
78
        defparam
79
                altsyncram_component.intended_device_family = "Cyclone",
80
                altsyncram_component.width_a = 8,
81
                altsyncram_component.widthad_a = 5,
82
                altsyncram_component.numwords_a = 32,
83
                altsyncram_component.operation_mode = "ROM",
84
                altsyncram_component.outdata_reg_a = "UNREGISTERED",
85
                altsyncram_component.address_aclr_a = "NONE",
86
                altsyncram_component.outdata_aclr_a = "NONE",
87
                altsyncram_component.width_byteena_a = 1,
88
                altsyncram_component.init_file = "init_file.mif",
89
                altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO",
90
                altsyncram_component.lpm_type = "altsyncram";
91
 
92
 
93
endmodule
94
 
95
// ============================================================
96
// CNX file retrieval info
97
// ============================================================
98
// Retrieval info: PRIVATE: WidthData NUMERIC "8"
99
// Retrieval info: PRIVATE: WidthAddr NUMERIC "5"
100
// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "32"
101
// Retrieval info: PRIVATE: SingleClock NUMERIC "1"
102
// Retrieval info: PRIVATE: UseDQRAM NUMERIC "0"
103
// Retrieval info: PRIVATE: RegAddr NUMERIC "1"
104
// Retrieval info: PRIVATE: RegOutput NUMERIC "0"
105
// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
106
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
107
// Retrieval info: PRIVATE: AclrByte NUMERIC "0"
108
// Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
109
// Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
110
// Retrieval info: PRIVATE: Clken NUMERIC "0"
111
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
112
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
113
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
114
// Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
115
// Retrieval info: PRIVATE: MIFfilename STRING "init_file.mif"
116
// Retrieval info: PRIVATE: UseLCs NUMERIC "0"
117
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
118
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
119
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
120
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
121
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
122
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
123
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
124
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
125
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "5"
126
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "32"
127
// Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM"
128
// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
129
// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE"
130
// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
131
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
132
// Retrieval info: CONSTANT: INIT_FILE STRING "init_file.mif"
133
// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
134
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
135
// Retrieval info: USED_PORT: address 0 0 5 0 INPUT NODEFVAL address[4..0]
136
// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0]
137
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
138
// Retrieval info: CONNECT: @address_a 0 0 5 0 address 0 0 5 0
139
// Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0
140
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
141
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
142
// Retrieval info: GEN_FILE: TYPE_NORMAL rom32x12.v TRUE
143
// Retrieval info: GEN_FILE: TYPE_NORMAL rom32x12.inc FALSE
144
// Retrieval info: GEN_FILE: TYPE_NORMAL rom32x12.cmp FALSE
145
// Retrieval info: GEN_FILE: TYPE_NORMAL rom32x12.bsf FALSE
146
// Retrieval info: GEN_FILE: TYPE_NORMAL rom32x12_inst.v FALSE
147
// Retrieval info: GEN_FILE: TYPE_NORMAL rom32x12_bb.v FALSE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.