OpenCores
URL https://opencores.org/ocsvn/lwrisc/lwrisc/trunk

Subversion Repositories lwrisc

[/] [lwrisc/] [trunk/] [SYN/] [rev_1/] [ClaiRISC_core.vqm] - Blame information for rev 19

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 mcupro
//
2
// Written by Synplify
3
// Synplify 8.1.0, Build 539R.
4
// Mon Mar 10 17:23:16 2008
5
//
6
// Source file index table:
7
// Object locations will have the form :
8
// file 0 "noname"
9
// file 1 "\c:\program files\synplicity\fpga_81\lib\altera\altera.v "
10
// file 2 "\c:\program files\synplicity\fpga_81\lib\altera\cyclone.v "
11
// file 3 "\c:\program files\synplicity\fpga_81\lib\altera\altera_mf.v "
12
// file 4 "\c:\program files\synplicity\fpga_81\lib\altera\altera_lpm.v "
13
// file 5 "\d:\lwrisc\rtl\sim_rom.v "
14
// file 6 "\d:\lwrisc\rtl\test.v "
15
// file 7 "\d:\lwrisc\rtl\mem_man.v "
16
// file 8 "\d:\lwrisc\rtl\clairisc_def.h "
17
// file 9 "\d:\lwrisc\rtl\memory.v "
18
// file 10 "\d:\lwrisc\rtl\rom_set.h "
19
// file 11 "\d:\lwrisc\rtl\risc_core.v "
20
// file 12 "\d:\lwrisc\rtl\altera\rom512x12.v "
21
// file 13 "\d:\lwrisc\rtl\altera\rom1024x12.v "
22
// file 14 "\d:\lwrisc\rtl\altera\rom2048x12.v "
23
// file 15 "\d:\lwrisc\rtl\altera\ram128x8.v "
24
// file 16 "\d:\lwrisc\rtl\altera\rom32x12.v "
25
// file 17 "\d:\lwrisc\rtl\altera\rom64x12.v "
26
// file 18 "\d:\lwrisc\rtl\altera\rom128x12.v "
27
// file 19 "\d:\lwrisc\rtl\altera\rom256x12.v "
28
 
29
// VQM4.1+
30
module altsyncram_Z1 (
31
  wren_a,
32
  data_a,
33
  address_a,
34
  address_b,
35
  clock0,
36
  q_a,
37
  q_b
38
);
39
input wren_a ;
40
input [7:0] data_a ;
41
input [6:0] address_a ;
42
input [6:0] address_b ;
43
input clock0 ;
44
output [7:0] q_a ;
45
output [7:0] q_b ;
46
altsyncram U1 (
47
  .wren_a(wren_a),
48
  .data_a(data_a),
49
  .address_a(address_a),
50
  .address_b(address_b),
51
  .clock0(clock0),
52
  .q_a(q_a),
53
  .q_b(q_b)
54
 );
55
defparam U1.intended_device_family =  "Cyclone";
56
defparam U1.read_during_write_mode_mixed_ports =  "DONT_CARE";
57
defparam U1.operation_mode =  "DUAL_PORT";
58
defparam U1.address_aclr_b =  "NONE";
59
defparam U1.outdata_aclr_b =  "NONE";
60
defparam U1.outdata_reg_b =  "UNREGISTERED";
61
defparam U1.address_reg_b =  "CLOCK0";
62
defparam U1.numwords_b =  128;
63
defparam U1.widthad_b =  7;
64
defparam U1.width_b =  8;
65
defparam U1.width_byteena_a =  1;
66
defparam U1.wrcontrol_aclr_a =  "NONE";
67
defparam U1.indata_aclr_a =  "NONE";
68
defparam U1.address_aclr_a =  "NONE";
69
defparam U1.numwords_a =  128;
70
defparam U1.widthad_a =  7;
71
defparam U1.width_a =  8;
72
endmodule /* altsyncram_Z1 */
73
 
74
// VQM4.1+
75
module ram128x8 (
76
  alt_ram_q_7,
77
  alt_ram_q_6,
78
  alt_ram_q_5,
79
  alt_ram_q_4,
80
  alt_ram_q_3,
81
  alt_ram_q_2,
82
  alt_ram_q_1,
83
  alt_ram_q_0,
84
  w_ins_4,
85
  w_ins_3,
86
  w_ins_2,
87
  w_ins_1,
88
  w_ins_0,
89
  fsr_1,
90
  fsr_0,
91
  w_ek_r_4,
92
  w_ek_r_3,
93
  w_ek_r_2,
94
  w_ek_r_1,
95
  w_ek_r_0,
96
  w_alu_res_1_6_2,
97
  w_alu_res_1_6_1,
98
  w_alu_res_1_6_0,
99
  w_alu_res_1_3_0,
100
  w_alu_res_1_1_0,
101
  w_alu_res_1_0_2,
102
  w_alu_res_1_0_1,
103
  w_alu_res_1_0_0,
104
  clk_c,
105
  w_mem_wr_r
106
);
107
output alt_ram_q_7 ;
108
output alt_ram_q_6 ;
109
output alt_ram_q_5 ;
110
output alt_ram_q_4 ;
111
output alt_ram_q_3 ;
112
output alt_ram_q_2 ;
113
output alt_ram_q_1 ;
114
output alt_ram_q_0 ;
115
input w_ins_4 ;
116
input w_ins_3 ;
117
input w_ins_2 ;
118
input w_ins_1 ;
119
input w_ins_0 ;
120
input fsr_1 ;
121
input fsr_0 ;
122
input w_ek_r_4 ;
123
input w_ek_r_3 ;
124
input w_ek_r_2 ;
125
input w_ek_r_1 ;
126
input w_ek_r_0 ;
127
input w_alu_res_1_6_2 ;
128
input w_alu_res_1_6_1 ;
129
input w_alu_res_1_6_0 ;
130
input w_alu_res_1_3_0 ;
131
input w_alu_res_1_1_0 ;
132
input w_alu_res_1_0_2 ;
133
input w_alu_res_1_0_1 ;
134
input w_alu_res_1_0_0 ;
135
input clk_c ;
136
input w_mem_wr_r ;
137
wire alt_ram_q_7 ;
138
wire alt_ram_q_6 ;
139
wire alt_ram_q_5 ;
140
wire alt_ram_q_4 ;
141
wire alt_ram_q_3 ;
142
wire alt_ram_q_2 ;
143
wire alt_ram_q_1 ;
144
wire alt_ram_q_0 ;
145
wire w_ins_4 ;
146
wire w_ins_3 ;
147
wire w_ins_2 ;
148
wire w_ins_1 ;
149
wire w_ins_0 ;
150
wire fsr_1 ;
151
wire fsr_0 ;
152
wire w_ek_r_4 ;
153
wire w_ek_r_3 ;
154
wire w_ek_r_2 ;
155
wire w_ek_r_1 ;
156
wire w_ek_r_0 ;
157
wire w_alu_res_1_6_2 ;
158
wire w_alu_res_1_6_1 ;
159
wire w_alu_res_1_6_0 ;
160
wire w_alu_res_1_3_0 ;
161
wire w_alu_res_1_1_0 ;
162
wire w_alu_res_1_0_2 ;
163
wire w_alu_res_1_0_1 ;
164
wire w_alu_res_1_0_0 ;
165
wire clk_c ;
166
wire w_mem_wr_r ;
167
wire [7:0] q_a;
168
wire NC0 ;
169
wire NC1 ;
170
wire NC2 ;
171
wire NC3 ;
172
wire NC4 ;
173
wire NC5 ;
174
wire NC6 ;
175
wire NC7 ;
176
wire NC8 ;
177
wire NC9 ;
178
wire NC10 ;
179
wire NC11 ;
180
wire NC12 ;
181
wire NC13 ;
182
wire NC14 ;
183
wire NC15 ;
184
wire NC16 ;
185
wire NC17 ;
186
wire NC18 ;
187
wire GND ;
188
wire VCC ;
189
  assign VCC = 1'b1;
190
  assign GND = 1'b0;
191
// @15:162
192
  altsyncram_Z1 altsyncram_component_Z (
193
        .wren_a(w_mem_wr_r),
194
        .data_a({w_alu_res_1_6_2, w_alu_res_1_6_1, w_alu_res_1_6_0, w_alu_res_1_3_0,
195
   w_alu_res_1_1_0, w_alu_res_1_0_2, w_alu_res_1_0_1, w_alu_res_1_0_0}),
196
        .address_a({fsr_1, fsr_0, w_ek_r_4, w_ek_r_3, w_ek_r_2, w_ek_r_1, w_ek_r_0}),
197
        .address_b({fsr_1, fsr_0, w_ins_4, w_ins_3, w_ins_2, w_ins_1, w_ins_0}),
198
        .clock0(clk_c),
199
        .q_a({q_a[7], q_a[6], q_a[5], q_a[4], q_a[3], q_a[2], q_a[1], q_a[0]}),
200
        .q_b({alt_ram_q_7, alt_ram_q_6, alt_ram_q_5, alt_ram_q_4, alt_ram_q_3,
201
   alt_ram_q_2, alt_ram_q_1, alt_ram_q_0})
202
);
203
endmodule /* ram128x8 */
204
 
205
// VQM4.1+
206
module wb_mem_man (
207
  w_ins_0,
208
  w_ins_1,
209
  w_ins_2,
210
  w_ins_3,
211
  w_ins_4,
212
  out0_0,
213
  out0_1,
214
  out0_2,
215
  out0_3,
216
  out0_4,
217
  out0_5,
218
  out0_6,
219
  out0_7,
220
  out1_0,
221
  out1_1,
222
  out1_2,
223
  out1_3,
224
  out1_4,
225
  out1_5,
226
  out1_6,
227
  out1_7,
228
  w_alu_res_1_1_0,
229
  w_alu_res_1_3_0,
230
  w_alu_res_1_6_0,
231
  w_alu_res_1_6_1,
232
  w_alu_res_1_6_2,
233
  in0_c_0,
234
  in0_c_1,
235
  in0_c_2,
236
  in0_c_3,
237
  in0_c_4,
238
  in0_c_5,
239
  in0_c_6,
240
  in0_c_7,
241
  w_alu_res_1_0_1,
242
  w_alu_res_1_0_2,
243
  w_alu_res_1_0_0,
244
  w_alu_res_1_0_a2_1_0,
245
  w_alu_res_1_0_a2_1_1,
246
  dout_4,
247
  dout_7,
248
  dout_5,
249
  dout_3,
250
  dout_2,
251
  dout_6,
252
  dout_0,
253
  dout_1,
254
  w_alu_res_1_0_a2_2_0_0,
255
  w_alu_res_1_0_a2_2_0_1,
256
  w_alu_res_1_0_0_0,
257
  w_alu_res_1_0_a2_0_0,
258
  w_alu_res_1_0_a2_0_1,
259
  w_alu_res_1_0_a2_0_2,
260
  w_alu_res_1_1_a_0,
261
  w_alu_res_1_1_1_0,
262
  w_alu_res_1_3_a_0,
263
  w_alu_res_1_3_1_0,
264
  w_alu_res_1_6_a_2,
265
  w_alu_res_1_6_a_0,
266
  w_alu_res_1_6_a_1,
267
  w_alu_res_1_6_1_2,
268
  w_alu_res_1_6_1_0,
269
  w_alu_res_1_6_1_1,
270
  in1_c_7,
271
  in1_c_6,
272
  in1_c_5,
273
  in1_c_4,
274
  in1_c_3,
275
  in1_c_2,
276
  in1_c_1,
277
  in1_c_0,
278
  w_ek_r_4,
279
  w_ek_r_3,
280
  w_ek_r_2,
281
  w_ek_r_1,
282
  w_ek_r_0,
283
  write_out0_0_a3_0_o2,
284
  rst_c,
285
  un11_w_alu_res_carry_7,
286
  w_c_2mem_i_a2_0_0,
287
  N_796,
288
  w_c_wr_r,
289
  w_z_0_a2,
290
  w_z_wr_r,
291
  G_287,
292
  G_279,
293
  G_271,
294
  rst_i_i,
295
  un11_w_alu_res_add7,
296
  un11_w_alu_res_add3,
297
  un11_w_alu_res_add4,
298
  un11_w_alu_res_add5,
299
  un11_w_alu_res_add6,
300
  w_c_2mem_i_a3,
301
  w_mem_wr_r,
302
  clk_c
303
);
304
input w_ins_0 ;
305
input w_ins_1 ;
306
input w_ins_2 ;
307
input w_ins_3 ;
308
input w_ins_4 ;
309
output out0_0 ;
310
output out0_1 ;
311
output out0_2 ;
312
output out0_3 ;
313
output out0_4 ;
314
output out0_5 ;
315
output out0_6 ;
316
output out0_7 ;
317
output out1_0 ;
318
output out1_1 ;
319
output out1_2 ;
320
output out1_3 ;
321
output out1_4 ;
322
output out1_5 ;
323
output out1_6 ;
324
output out1_7 ;
325
input w_alu_res_1_1_0 ;
326
input w_alu_res_1_3_0 ;
327
input w_alu_res_1_6_0 ;
328
input w_alu_res_1_6_1 ;
329
input w_alu_res_1_6_2 ;
330
input in0_c_0 ;
331
input in0_c_1 ;
332
input in0_c_2 ;
333
input in0_c_3 ;
334
input in0_c_4 ;
335
input in0_c_5 ;
336
input in0_c_6 ;
337
input in0_c_7 ;
338
input w_alu_res_1_0_1 ;
339
input w_alu_res_1_0_2 ;
340
output w_alu_res_1_0_0 ;
341
input w_alu_res_1_0_a2_1_0 ;
342
input w_alu_res_1_0_a2_1_1 ;
343
output dout_4 ;
344
output dout_7 ;
345
output dout_5 ;
346
output dout_3 ;
347
output dout_2 ;
348
output dout_6 ;
349
output dout_0 ;
350
output dout_1 ;
351
input w_alu_res_1_0_a2_2_0_0 ;
352
input w_alu_res_1_0_a2_2_0_1 ;
353
input w_alu_res_1_0_0_0 ;
354
input w_alu_res_1_0_a2_0_0 ;
355
input w_alu_res_1_0_a2_0_1 ;
356
input w_alu_res_1_0_a2_0_2 ;
357
input w_alu_res_1_1_a_0 ;
358
input w_alu_res_1_1_1_0 ;
359
input w_alu_res_1_3_a_0 ;
360
input w_alu_res_1_3_1_0 ;
361
input w_alu_res_1_6_a_2 ;
362
input w_alu_res_1_6_a_0 ;
363
input w_alu_res_1_6_a_1 ;
364
input w_alu_res_1_6_1_2 ;
365
input w_alu_res_1_6_1_0 ;
366
input w_alu_res_1_6_1_1 ;
367
input in1_c_7 ;
368
input in1_c_6 ;
369
input in1_c_5 ;
370
input in1_c_4 ;
371
input in1_c_3 ;
372
input in1_c_2 ;
373
input in1_c_1 ;
374
input in1_c_0 ;
375
input w_ek_r_4 ;
376
input w_ek_r_3 ;
377
input w_ek_r_2 ;
378
input w_ek_r_1 ;
379
input w_ek_r_0 ;
380
output write_out0_0_a3_0_o2 ;
381
input rst_c ;
382
input un11_w_alu_res_carry_7 ;
383
input w_c_2mem_i_a2_0_0 ;
384
input N_796 ;
385
input w_c_wr_r ;
386
input w_z_0_a2 ;
387
input w_z_wr_r ;
388
input G_287 ;
389
input G_279 ;
390
input G_271 ;
391
input rst_i_i ;
392
input un11_w_alu_res_add7 ;
393
input un11_w_alu_res_add3 ;
394
input un11_w_alu_res_add4 ;
395
input un11_w_alu_res_add5 ;
396
input un11_w_alu_res_add6 ;
397
input w_c_2mem_i_a3 ;
398
input w_mem_wr_r ;
399
input clk_c ;
400
wire w_ins_0 ;
401
wire w_ins_1 ;
402
wire w_ins_2 ;
403
wire w_ins_3 ;
404
wire w_ins_4 ;
405
wire out0_0 ;
406
wire out0_1 ;
407
wire out0_2 ;
408
wire out0_3 ;
409
wire out0_4 ;
410
wire out0_5 ;
411
wire out0_6 ;
412
wire out0_7 ;
413
wire out1_0 ;
414
wire out1_1 ;
415
wire out1_2 ;
416
wire out1_3 ;
417
wire out1_4 ;
418
wire out1_5 ;
419
wire out1_6 ;
420
wire out1_7 ;
421
wire w_alu_res_1_1_0 ;
422
wire w_alu_res_1_3_0 ;
423
wire w_alu_res_1_6_0 ;
424
wire w_alu_res_1_6_1 ;
425
wire w_alu_res_1_6_2 ;
426
wire in0_c_0 ;
427
wire in0_c_1 ;
428
wire in0_c_2 ;
429
wire in0_c_3 ;
430
wire in0_c_4 ;
431
wire in0_c_5 ;
432
wire in0_c_6 ;
433
wire in0_c_7 ;
434
wire w_alu_res_1_0_1 ;
435
wire w_alu_res_1_0_2 ;
436
wire w_alu_res_1_0_0 ;
437
wire w_alu_res_1_0_a2_1_0 ;
438
wire w_alu_res_1_0_a2_1_1 ;
439
wire dout_4 ;
440
wire dout_7 ;
441
wire dout_5 ;
442
wire dout_3 ;
443
wire dout_2 ;
444
wire dout_6 ;
445
wire dout_0 ;
446
wire dout_1 ;
447
wire w_alu_res_1_0_a2_2_0_0 ;
448
wire w_alu_res_1_0_a2_2_0_1 ;
449
wire w_alu_res_1_0_0_0 ;
450
wire w_alu_res_1_0_a2_0_0 ;
451
wire w_alu_res_1_0_a2_0_1 ;
452
wire w_alu_res_1_0_a2_0_2 ;
453
wire w_alu_res_1_1_a_0 ;
454
wire w_alu_res_1_1_1_0 ;
455
wire w_alu_res_1_3_a_0 ;
456
wire w_alu_res_1_3_1_0 ;
457
wire w_alu_res_1_6_a_2 ;
458
wire w_alu_res_1_6_a_0 ;
459
wire w_alu_res_1_6_a_1 ;
460
wire w_alu_res_1_6_1_2 ;
461
wire w_alu_res_1_6_1_0 ;
462
wire w_alu_res_1_6_1_1 ;
463
wire in1_c_7 ;
464
wire in1_c_6 ;
465
wire in1_c_5 ;
466
wire in1_c_4 ;
467
wire in1_c_3 ;
468
wire in1_c_2 ;
469
wire in1_c_1 ;
470
wire in1_c_0 ;
471
wire w_ek_r_4 ;
472
wire w_ek_r_3 ;
473
wire w_ek_r_2 ;
474
wire w_ek_r_1 ;
475
wire w_ek_r_0 ;
476
wire write_out0_0_a3_0_o2 ;
477
wire rst_c ;
478
wire un11_w_alu_res_carry_7 ;
479
wire w_c_2mem_i_a2_0_0 ;
480
wire N_796 ;
481
wire w_c_wr_r ;
482
wire w_z_0_a2 ;
483
wire w_z_wr_r ;
484
wire G_287 ;
485
wire G_279 ;
486
wire G_271 ;
487
wire rst_i_i ;
488
wire un11_w_alu_res_add7 ;
489
wire un11_w_alu_res_add3 ;
490
wire un11_w_alu_res_add4 ;
491
wire un11_w_alu_res_add5 ;
492
wire un11_w_alu_res_add6 ;
493
wire w_c_2mem_i_a3 ;
494
wire w_mem_wr_r ;
495
wire clk_c ;
496
wire [4:0] wr_addr_r;
497
wire [7:0] dout_1_Z;
498
wire [7:0] reg_in0;
499
wire [6:0] din_r;
500
wire [7:0] status;
501
wire [6:6] status_0_0_0_a2_1;
502
wire [6:6] status_0_0_0_a2_2;
503
wire [2:2] status_0_i_0_a;
504
wire [0:0] status_6;
505
wire [7:0] fsr;
506
wire [0:0] status_6_a;
507
wire [7:0] alt_ram_q;
508
wire [6:0] dout_a;
509
wire [7:0] dout_3_Z;
510
wire [7:0] dout_3_a;
511
wire ram_q_a ;
512
wire VCC ;
513
wire dout10 ;
514
wire ram_q ;
515
wire un1_ram_q_NE_2 ;
516
wire un1_ram_q_NE_2_a ;
517
wire dout_sn_m5_e_0_a2 ;
518
wire dout_sn_m5_e_0_a2_a ;
519
wire dout10_2 ;
520
wire dout8 ;
521
wire dout_sn_m6_0_a2 ;
522
wire dout7_1 ;
523
wire N_64 ;
524
wire N_63 ;
525
wire GND ;
526
wire rst_i_i_i ;
527
//@1:1
528
  assign VCC = 1'b1;
529
  assign GND = 1'b0;
530
// @7:36
531
  cyclone_lcell wr_en_r_Z (
532
        .combout(ram_q_a),
533
        .clk(clk_c),
534
        .dataa(wr_addr_r[0]),
535
        .datab(w_ek_r_0),
536
        .datac(w_mem_wr_r),
537
        .datad(VCC),
538
        .aclr(GND),
539
        .sclr(GND),
540
        .sload(VCC),
541
        .ena(VCC),
542
        .inverta(GND),
543
        .aload(GND),
544
        .regcascin(GND)
545
);
546
defparam wr_en_r_Z.operation_mode="normal";
547
defparam wr_en_r_Z.output_mode="comb_only";
548
defparam wr_en_r_Z.lut_mask="6f6f";
549
defparam wr_en_r_Z.synch_mode="on";
550
defparam wr_en_r_Z.sum_lutc_input="qfbk";
551
// @7:109
552
  cyclone_lcell reg_in1_0__Z (
553
        .combout(dout_1_Z[0]),
554
        .clk(clk_c),
555
        .dataa(reg_in0[0]),
556
        .datab(dout10),
557
        .datac(in1_c_0),
558
        .datad(VCC),
559
        .aclr(GND),
560
        .sclr(GND),
561
        .sload(VCC),
562
        .ena(VCC),
563
        .inverta(GND),
564
        .aload(GND),
565
        .regcascin(GND)
566
);
567
defparam reg_in1_0__Z.operation_mode="normal";
568
defparam reg_in1_0__Z.output_mode="comb_only";
569
defparam reg_in1_0__Z.lut_mask="e2e2";
570
defparam reg_in1_0__Z.synch_mode="on";
571
defparam reg_in1_0__Z.sum_lutc_input="qfbk";
572
// @7:109
573
  cyclone_lcell reg_in1_1__Z (
574
        .combout(dout_1_Z[1]),
575
        .clk(clk_c),
576
        .dataa(reg_in0[1]),
577
        .datab(dout10),
578
        .datac(in1_c_1),
579
        .datad(VCC),
580
        .aclr(GND),
581
        .sclr(GND),
582
        .sload(VCC),
583
        .ena(VCC),
584
        .inverta(GND),
585
        .aload(GND),
586
        .regcascin(GND)
587
);
588
defparam reg_in1_1__Z.operation_mode="normal";
589
defparam reg_in1_1__Z.output_mode="comb_only";
590
defparam reg_in1_1__Z.lut_mask="e2e2";
591
defparam reg_in1_1__Z.synch_mode="on";
592
defparam reg_in1_1__Z.sum_lutc_input="qfbk";
593
// @7:109
594
  cyclone_lcell reg_in1_2__Z (
595
        .combout(dout_1_Z[2]),
596
        .clk(clk_c),
597
        .dataa(reg_in0[2]),
598
        .datab(dout10),
599
        .datac(in1_c_2),
600
        .datad(VCC),
601
        .aclr(GND),
602
        .sclr(GND),
603
        .sload(VCC),
604
        .ena(VCC),
605
        .inverta(GND),
606
        .aload(GND),
607
        .regcascin(GND)
608
);
609
defparam reg_in1_2__Z.operation_mode="normal";
610
defparam reg_in1_2__Z.output_mode="comb_only";
611
defparam reg_in1_2__Z.lut_mask="e2e2";
612
defparam reg_in1_2__Z.synch_mode="on";
613
defparam reg_in1_2__Z.sum_lutc_input="qfbk";
614
// @7:109
615
  cyclone_lcell reg_in1_3__Z (
616
        .combout(dout_1_Z[3]),
617
        .clk(clk_c),
618
        .dataa(reg_in0[3]),
619
        .datab(dout10),
620
        .datac(in1_c_3),
621
        .datad(VCC),
622
        .aclr(GND),
623
        .sclr(GND),
624
        .sload(VCC),
625
        .ena(VCC),
626
        .inverta(GND),
627
        .aload(GND),
628
        .regcascin(GND)
629
);
630
defparam reg_in1_3__Z.operation_mode="normal";
631
defparam reg_in1_3__Z.output_mode="comb_only";
632
defparam reg_in1_3__Z.lut_mask="e2e2";
633
defparam reg_in1_3__Z.synch_mode="on";
634
defparam reg_in1_3__Z.sum_lutc_input="qfbk";
635
// @7:109
636
  cyclone_lcell reg_in1_4__Z (
637
        .combout(dout_1_Z[4]),
638
        .clk(clk_c),
639
        .dataa(reg_in0[4]),
640
        .datab(dout10),
641
        .datac(in1_c_4),
642
        .datad(VCC),
643
        .aclr(GND),
644
        .sclr(GND),
645
        .sload(VCC),
646
        .ena(VCC),
647
        .inverta(GND),
648
        .aload(GND),
649
        .regcascin(GND)
650
);
651
defparam reg_in1_4__Z.operation_mode="normal";
652
defparam reg_in1_4__Z.output_mode="comb_only";
653
defparam reg_in1_4__Z.lut_mask="e2e2";
654
defparam reg_in1_4__Z.synch_mode="on";
655
defparam reg_in1_4__Z.sum_lutc_input="qfbk";
656
// @7:109
657
  cyclone_lcell reg_in1_5__Z (
658
        .combout(dout_1_Z[5]),
659
        .clk(clk_c),
660
        .dataa(reg_in0[5]),
661
        .datab(dout10),
662
        .datac(in1_c_5),
663
        .datad(VCC),
664
        .aclr(GND),
665
        .sclr(GND),
666
        .sload(VCC),
667
        .ena(VCC),
668
        .inverta(GND),
669
        .aload(GND),
670
        .regcascin(GND)
671
);
672
defparam reg_in1_5__Z.operation_mode="normal";
673
defparam reg_in1_5__Z.output_mode="comb_only";
674
defparam reg_in1_5__Z.lut_mask="e2e2";
675
defparam reg_in1_5__Z.synch_mode="on";
676
defparam reg_in1_5__Z.sum_lutc_input="qfbk";
677
// @7:109
678
  cyclone_lcell reg_in1_6__Z (
679
        .combout(dout_1_Z[6]),
680
        .clk(clk_c),
681
        .dataa(reg_in0[6]),
682
        .datab(dout10),
683
        .datac(in1_c_6),
684
        .datad(VCC),
685
        .aclr(GND),
686
        .sclr(GND),
687
        .sload(VCC),
688
        .ena(VCC),
689
        .inverta(GND),
690
        .aload(GND),
691
        .regcascin(GND)
692
);
693
defparam reg_in1_6__Z.operation_mode="normal";
694
defparam reg_in1_6__Z.output_mode="comb_only";
695
defparam reg_in1_6__Z.lut_mask="e2e2";
696
defparam reg_in1_6__Z.synch_mode="on";
697
defparam reg_in1_6__Z.sum_lutc_input="qfbk";
698
// @7:109
699
  cyclone_lcell reg_in1_7__Z (
700
        .combout(dout_1_Z[7]),
701
        .clk(clk_c),
702
        .dataa(reg_in0[7]),
703
        .datab(dout10),
704
        .datac(in1_c_7),
705
        .datad(VCC),
706
        .aclr(GND),
707
        .sclr(GND),
708
        .sload(VCC),
709
        .ena(VCC),
710
        .inverta(GND),
711
        .aload(GND),
712
        .regcascin(GND)
713
);
714
defparam reg_in1_7__Z.operation_mode="normal";
715
defparam reg_in1_7__Z.output_mode="comb_only";
716
defparam reg_in1_7__Z.lut_mask="e2e2";
717
defparam reg_in1_7__Z.synch_mode="on";
718
defparam reg_in1_7__Z.sum_lutc_input="qfbk";
719
// @7:36
720
  cyclone_lcell wr_addr_r_1__Z (
721
        .combout(ram_q),
722
        .clk(clk_c),
723
        .dataa(w_ek_r_1),
724
        .datab(ram_q_a),
725
        .datac(w_ek_r_1),
726
        .datad(un1_ram_q_NE_2),
727
        .aclr(GND),
728
        .sclr(GND),
729
        .sload(VCC),
730
        .ena(VCC),
731
        .inverta(GND),
732
        .aload(GND),
733
        .regcascin(GND)
734
);
735
defparam wr_addr_r_1__Z.operation_mode="normal";
736
defparam wr_addr_r_1__Z.output_mode="comb_only";
737
defparam wr_addr_r_1__Z.lut_mask="0021";
738
defparam wr_addr_r_1__Z.synch_mode="on";
739
defparam wr_addr_r_1__Z.sum_lutc_input="qfbk";
740
// @7:36
741
  cyclone_lcell wr_addr_r_2__Z (
742
        .combout(un1_ram_q_NE_2),
743
        .clk(clk_c),
744
        .dataa(w_ek_r_2),
745
        .datab(un1_ram_q_NE_2_a),
746
        .datac(w_ek_r_2),
747
        .datad(VCC),
748
        .aclr(GND),
749
        .sclr(GND),
750
        .sload(VCC),
751
        .ena(VCC),
752
        .inverta(GND),
753
        .aload(GND),
754
        .regcascin(GND)
755
);
756
defparam wr_addr_r_2__Z.operation_mode="normal";
757
defparam wr_addr_r_2__Z.output_mode="comb_only";
758
defparam wr_addr_r_2__Z.lut_mask="dede";
759
defparam wr_addr_r_2__Z.synch_mode="on";
760
defparam wr_addr_r_2__Z.sum_lutc_input="qfbk";
761
// @7:36
762
  cyclone_lcell wr_addr_r_3__Z (
763
        .combout(un1_ram_q_NE_2_a),
764
        .clk(clk_c),
765
        .dataa(wr_addr_r[4]),
766
        .datab(w_ek_r_3),
767
        .datac(w_ek_r_3),
768
        .datad(w_ek_r_4),
769
        .aclr(GND),
770
        .sclr(GND),
771
        .sload(VCC),
772
        .ena(VCC),
773
        .inverta(GND),
774
        .aload(GND),
775
        .regcascin(GND)
776
);
777
defparam wr_addr_r_3__Z.operation_mode="normal";
778
defparam wr_addr_r_3__Z.output_mode="comb_only";
779
defparam wr_addr_r_3__Z.lut_mask="7dbe";
780
defparam wr_addr_r_3__Z.synch_mode="on";
781
defparam wr_addr_r_3__Z.sum_lutc_input="qfbk";
782
// @7:36
783
  cyclone_lcell din_r_6__Z (
784
        .regout(din_r[6]),
785
        .clk(clk_c),
786
        .dataa(w_c_2mem_i_a3),
787
        .datab(un11_w_alu_res_add6),
788
        .datac(w_alu_res_1_6_1_1),
789
        .datad(w_alu_res_1_6_a_1),
790
        .aclr(GND),
791
        .sclr(GND),
792
        .sload(GND),
793
        .ena(VCC),
794
        .inverta(GND),
795
        .aload(GND),
796
        .regcascin(GND)
797
);
798
defparam din_r_6__Z.operation_mode="normal";
799
defparam din_r_6__Z.output_mode="reg_only";
800
defparam din_r_6__Z.lut_mask="f8ff";
801
defparam din_r_6__Z.synch_mode="off";
802
defparam din_r_6__Z.sum_lutc_input="datac";
803
// @7:36
804
  cyclone_lcell din_r_5__Z (
805
        .regout(din_r[5]),
806
        .clk(clk_c),
807
        .dataa(w_c_2mem_i_a3),
808
        .datab(un11_w_alu_res_add5),
809
        .datac(w_alu_res_1_6_1_0),
810
        .datad(w_alu_res_1_6_a_0),
811
        .aclr(GND),
812
        .sclr(GND),
813
        .sload(GND),
814
        .ena(VCC),
815
        .inverta(GND),
816
        .aload(GND),
817
        .regcascin(GND)
818
);
819
defparam din_r_5__Z.operation_mode="normal";
820
defparam din_r_5__Z.output_mode="reg_only";
821
defparam din_r_5__Z.lut_mask="f8ff";
822
defparam din_r_5__Z.synch_mode="off";
823
defparam din_r_5__Z.sum_lutc_input="datac";
824
// @7:36
825
  cyclone_lcell din_r_4__Z (
826
        .regout(din_r[4]),
827
        .clk(clk_c),
828
        .dataa(w_c_2mem_i_a3),
829
        .datab(un11_w_alu_res_add4),
830
        .datac(w_alu_res_1_3_1_0),
831
        .datad(w_alu_res_1_3_a_0),
832
        .aclr(GND),
833
        .sclr(GND),
834
        .sload(GND),
835
        .ena(VCC),
836
        .inverta(GND),
837
        .aload(GND),
838
        .regcascin(GND)
839
);
840
defparam din_r_4__Z.operation_mode="normal";
841
defparam din_r_4__Z.output_mode="reg_only";
842
defparam din_r_4__Z.lut_mask="f8ff";
843
defparam din_r_4__Z.synch_mode="off";
844
defparam din_r_4__Z.sum_lutc_input="datac";
845
// @7:36
846
  cyclone_lcell din_r_3__Z (
847
        .regout(din_r[3]),
848
        .clk(clk_c),
849
        .dataa(w_c_2mem_i_a3),
850
        .datab(un11_w_alu_res_add3),
851
        .datac(w_alu_res_1_1_1_0),
852
        .datad(w_alu_res_1_1_a_0),
853
        .aclr(GND),
854
        .sclr(GND),
855
        .sload(GND),
856
        .ena(VCC),
857
        .inverta(GND),
858
        .aload(GND),
859
        .regcascin(GND)
860
);
861
defparam din_r_3__Z.operation_mode="normal";
862
defparam din_r_3__Z.output_mode="reg_only";
863
defparam din_r_3__Z.lut_mask="f8ff";
864
defparam din_r_3__Z.synch_mode="off";
865
defparam din_r_3__Z.sum_lutc_input="datac";
866
// @7:36
867
  cyclone_lcell din_r_2__Z (
868
        .regout(din_r[2]),
869
        .clk(clk_c),
870
        .dataa(VCC),
871
        .datab(VCC),
872
        .datac(w_alu_res_1_0_a2_0_2),
873
        .datad(w_alu_res_1_0_0_0),
874
        .aclr(GND),
875
        .sclr(GND),
876
        .sload(GND),
877
        .ena(VCC),
878
        .inverta(GND),
879
        .aload(GND),
880
        .regcascin(GND)
881
);
882
defparam din_r_2__Z.operation_mode="normal";
883
defparam din_r_2__Z.output_mode="reg_only";
884
defparam din_r_2__Z.lut_mask="fff0";
885
defparam din_r_2__Z.synch_mode="off";
886
defparam din_r_2__Z.sum_lutc_input="datac";
887
// @7:36
888
  cyclone_lcell din_r_1__Z (
889
        .regout(din_r[1]),
890
        .clk(clk_c),
891
        .dataa(w_alu_res_1_0_a2_2_0_1),
892
        .datab(dout_1),
893
        .datac(w_alu_res_1_0_a2_1_1),
894
        .datad(w_alu_res_1_0_a2_0_1),
895
        .aclr(GND),
896
        .sclr(GND),
897
        .sload(GND),
898
        .ena(VCC),
899
        .inverta(GND),
900
        .aload(GND),
901
        .regcascin(GND)
902
);
903
defparam din_r_1__Z.operation_mode="normal";
904
defparam din_r_1__Z.output_mode="reg_only";
905
defparam din_r_1__Z.lut_mask="fff8";
906
defparam din_r_1__Z.synch_mode="off";
907
defparam din_r_1__Z.sum_lutc_input="datac";
908
// @7:36
909
  cyclone_lcell din_r_0__Z (
910
        .combout(w_alu_res_1_0_0),
911
        .regout(din_r[0]),
912
        .clk(clk_c),
913
        .dataa(w_alu_res_1_0_a2_2_0_0),
914
        .datab(dout_0),
915
        .datac(w_alu_res_1_0_a2_0_0),
916
        .datad(w_alu_res_1_0_a2_1_0),
917
        .aclr(GND),
918
        .sclr(GND),
919
        .sload(GND),
920
        .ena(VCC),
921
        .inverta(GND),
922
        .aload(GND),
923
        .regcascin(GND)
924
);
925
defparam din_r_0__Z.operation_mode="normal";
926
defparam din_r_0__Z.output_mode="reg_and_comb";
927
defparam din_r_0__Z.lut_mask="fff8";
928
defparam din_r_0__Z.synch_mode="off";
929
defparam din_r_0__Z.sum_lutc_input="datac";
930
// @7:36
931
  cyclone_lcell wr_addr_r_4__Z (
932
        .regout(wr_addr_r[4]),
933
        .clk(clk_c),
934
        .dataa(VCC),
935
        .datab(VCC),
936
        .datac(VCC),
937
        .datad(w_ek_r_4),
938
        .aclr(GND),
939
        .sclr(GND),
940
        .sload(GND),
941
        .ena(VCC),
942
        .inverta(GND),
943
        .aload(GND),
944
        .regcascin(GND)
945
);
946
defparam wr_addr_r_4__Z.operation_mode="normal";
947
defparam wr_addr_r_4__Z.output_mode="reg_only";
948
defparam wr_addr_r_4__Z.lut_mask="ff00";
949
defparam wr_addr_r_4__Z.synch_mode="off";
950
defparam wr_addr_r_4__Z.sum_lutc_input="datac";
951
// @7:36
952
  cyclone_lcell wr_addr_r_0__Z (
953
        .regout(wr_addr_r[0]),
954
        .clk(clk_c),
955
        .dataa(VCC),
956
        .datab(VCC),
957
        .datac(VCC),
958
        .datad(w_ek_r_0),
959
        .aclr(GND),
960
        .sclr(GND),
961
        .sload(GND),
962
        .ena(VCC),
963
        .inverta(GND),
964
        .aload(GND),
965
        .regcascin(GND)
966
);
967
defparam wr_addr_r_0__Z.operation_mode="normal";
968
defparam wr_addr_r_0__Z.output_mode="reg_only";
969
defparam wr_addr_r_0__Z.lut_mask="ff00";
970
defparam wr_addr_r_0__Z.synch_mode="off";
971
defparam wr_addr_r_0__Z.sum_lutc_input="datac";
972
// @7:108
973
  cyclone_lcell reg_in0_7__Z (
974
        .regout(reg_in0[7]),
975
        .clk(clk_c),
976
        .dataa(VCC),
977
        .datab(VCC),
978
        .datac(VCC),
979
        .datad(in0_c_7),
980
        .aclr(GND),
981
        .sclr(GND),
982
        .sload(GND),
983
        .ena(VCC),
984
        .inverta(GND),
985
        .aload(GND),
986
        .regcascin(GND)
987
);
988
defparam reg_in0_7__Z.operation_mode="normal";
989
defparam reg_in0_7__Z.output_mode="reg_only";
990
defparam reg_in0_7__Z.lut_mask="ff00";
991
defparam reg_in0_7__Z.synch_mode="off";
992
defparam reg_in0_7__Z.sum_lutc_input="datac";
993
// @7:108
994
  cyclone_lcell reg_in0_6__Z (
995
        .regout(reg_in0[6]),
996
        .clk(clk_c),
997
        .dataa(VCC),
998
        .datab(VCC),
999
        .datac(VCC),
1000
        .datad(in0_c_6),
1001
        .aclr(GND),
1002
        .sclr(GND),
1003
        .sload(GND),
1004
        .ena(VCC),
1005
        .inverta(GND),
1006
        .aload(GND),
1007
        .regcascin(GND)
1008
);
1009
defparam reg_in0_6__Z.operation_mode="normal";
1010
defparam reg_in0_6__Z.output_mode="reg_only";
1011
defparam reg_in0_6__Z.lut_mask="ff00";
1012
defparam reg_in0_6__Z.synch_mode="off";
1013
defparam reg_in0_6__Z.sum_lutc_input="datac";
1014
// @7:108
1015
  cyclone_lcell reg_in0_5__Z (
1016
        .regout(reg_in0[5]),
1017
        .clk(clk_c),
1018
        .dataa(VCC),
1019
        .datab(VCC),
1020
        .datac(VCC),
1021
        .datad(in0_c_5),
1022
        .aclr(GND),
1023
        .sclr(GND),
1024
        .sload(GND),
1025
        .ena(VCC),
1026
        .inverta(GND),
1027
        .aload(GND),
1028
        .regcascin(GND)
1029
);
1030
defparam reg_in0_5__Z.operation_mode="normal";
1031
defparam reg_in0_5__Z.output_mode="reg_only";
1032
defparam reg_in0_5__Z.lut_mask="ff00";
1033
defparam reg_in0_5__Z.synch_mode="off";
1034
defparam reg_in0_5__Z.sum_lutc_input="datac";
1035
// @7:108
1036
  cyclone_lcell reg_in0_4__Z (
1037
        .regout(reg_in0[4]),
1038
        .clk(clk_c),
1039
        .dataa(VCC),
1040
        .datab(VCC),
1041
        .datac(VCC),
1042
        .datad(in0_c_4),
1043
        .aclr(GND),
1044
        .sclr(GND),
1045
        .sload(GND),
1046
        .ena(VCC),
1047
        .inverta(GND),
1048
        .aload(GND),
1049
        .regcascin(GND)
1050
);
1051
defparam reg_in0_4__Z.operation_mode="normal";
1052
defparam reg_in0_4__Z.output_mode="reg_only";
1053
defparam reg_in0_4__Z.lut_mask="ff00";
1054
defparam reg_in0_4__Z.synch_mode="off";
1055
defparam reg_in0_4__Z.sum_lutc_input="datac";
1056
// @7:108
1057
  cyclone_lcell reg_in0_3__Z (
1058
        .regout(reg_in0[3]),
1059
        .clk(clk_c),
1060
        .dataa(VCC),
1061
        .datab(VCC),
1062
        .datac(VCC),
1063
        .datad(in0_c_3),
1064
        .aclr(GND),
1065
        .sclr(GND),
1066
        .sload(GND),
1067
        .ena(VCC),
1068
        .inverta(GND),
1069
        .aload(GND),
1070
        .regcascin(GND)
1071
);
1072
defparam reg_in0_3__Z.operation_mode="normal";
1073
defparam reg_in0_3__Z.output_mode="reg_only";
1074
defparam reg_in0_3__Z.lut_mask="ff00";
1075
defparam reg_in0_3__Z.synch_mode="off";
1076
defparam reg_in0_3__Z.sum_lutc_input="datac";
1077
// @7:108
1078
  cyclone_lcell reg_in0_2__Z (
1079
        .regout(reg_in0[2]),
1080
        .clk(clk_c),
1081
        .dataa(VCC),
1082
        .datab(VCC),
1083
        .datac(VCC),
1084
        .datad(in0_c_2),
1085
        .aclr(GND),
1086
        .sclr(GND),
1087
        .sload(GND),
1088
        .ena(VCC),
1089
        .inverta(GND),
1090
        .aload(GND),
1091
        .regcascin(GND)
1092
);
1093
defparam reg_in0_2__Z.operation_mode="normal";
1094
defparam reg_in0_2__Z.output_mode="reg_only";
1095
defparam reg_in0_2__Z.lut_mask="ff00";
1096
defparam reg_in0_2__Z.synch_mode="off";
1097
defparam reg_in0_2__Z.sum_lutc_input="datac";
1098
// @7:108
1099
  cyclone_lcell reg_in0_1__Z (
1100
        .regout(reg_in0[1]),
1101
        .clk(clk_c),
1102
        .dataa(VCC),
1103
        .datab(VCC),
1104
        .datac(VCC),
1105
        .datad(in0_c_1),
1106
        .aclr(GND),
1107
        .sclr(GND),
1108
        .sload(GND),
1109
        .ena(VCC),
1110
        .inverta(GND),
1111
        .aload(GND),
1112
        .regcascin(GND)
1113
);
1114
defparam reg_in0_1__Z.operation_mode="normal";
1115
defparam reg_in0_1__Z.output_mode="reg_only";
1116
defparam reg_in0_1__Z.lut_mask="ff00";
1117
defparam reg_in0_1__Z.synch_mode="off";
1118
defparam reg_in0_1__Z.sum_lutc_input="datac";
1119
// @7:108
1120
  cyclone_lcell reg_in0_0__Z (
1121
        .regout(reg_in0[0]),
1122
        .clk(clk_c),
1123
        .dataa(VCC),
1124
        .datab(VCC),
1125
        .datac(VCC),
1126
        .datad(in0_c_0),
1127
        .aclr(GND),
1128
        .sclr(GND),
1129
        .sload(GND),
1130
        .ena(VCC),
1131
        .inverta(GND),
1132
        .aload(GND),
1133
        .regcascin(GND)
1134
);
1135
defparam reg_in0_0__Z.operation_mode="normal";
1136
defparam reg_in0_0__Z.output_mode="reg_only";
1137
defparam reg_in0_0__Z.lut_mask="ff00";
1138
defparam reg_in0_0__Z.synch_mode="off";
1139
defparam reg_in0_0__Z.sum_lutc_input="datac";
1140
// @7:72
1141
  cyclone_lcell status_7__Z (
1142
        .regout(status[7]),
1143
        .clk(clk_c),
1144
        .dataa(status[7]),
1145
        .datab(status_0_0_0_a2_1[6]),
1146
        .datac(status_0_0_0_a2_2[6]),
1147
        .datad(w_alu_res_1_6_2),
1148
        .aclr(GND),
1149
        .sclr(GND),
1150
        .sload(GND),
1151
        .ena(VCC),
1152
        .inverta(GND),
1153
        .aload(GND),
1154
        .regcascin(GND)
1155
);
1156
defparam status_7__Z.operation_mode="normal";
1157
defparam status_7__Z.output_mode="reg_only";
1158
defparam status_7__Z.lut_mask="eca0";
1159
defparam status_7__Z.synch_mode="off";
1160
defparam status_7__Z.sum_lutc_input="datac";
1161
// @7:72
1162
  cyclone_lcell status_6__Z (
1163
        .regout(status[6]),
1164
        .clk(clk_c),
1165
        .dataa(status[6]),
1166
        .datab(status_0_0_0_a2_1[6]),
1167
        .datac(status_0_0_0_a2_2[6]),
1168
        .datad(w_alu_res_1_6_1),
1169
        .aclr(GND),
1170
        .sclr(GND),
1171
        .sload(GND),
1172
        .ena(VCC),
1173
        .inverta(GND),
1174
        .aload(GND),
1175
        .regcascin(GND)
1176
);
1177
defparam status_6__Z.operation_mode="normal";
1178
defparam status_6__Z.output_mode="reg_only";
1179
defparam status_6__Z.lut_mask="eca0";
1180
defparam status_6__Z.synch_mode="off";
1181
defparam status_6__Z.sum_lutc_input="datac";
1182
// @7:72
1183
  cyclone_lcell status_5__Z (
1184
        .regout(status[5]),
1185
        .clk(clk_c),
1186
        .dataa(status[5]),
1187
        .datab(status_0_0_0_a2_1[6]),
1188
        .datac(status_0_0_0_a2_2[6]),
1189
        .datad(w_alu_res_1_6_0),
1190
        .aclr(GND),
1191
        .sclr(GND),
1192
        .sload(GND),
1193
        .ena(VCC),
1194
        .inverta(GND),
1195
        .aload(GND),
1196
        .regcascin(GND)
1197
);
1198
defparam status_5__Z.operation_mode="normal";
1199
defparam status_5__Z.output_mode="reg_only";
1200
defparam status_5__Z.lut_mask="af23";
1201
defparam status_5__Z.synch_mode="off";
1202
defparam status_5__Z.sum_lutc_input="datac";
1203
// @7:72
1204
  cyclone_lcell status_4__Z (
1205
        .regout(status[4]),
1206
        .clk(clk_c),
1207
        .dataa(status[4]),
1208
        .datab(status_0_0_0_a2_1[6]),
1209
        .datac(status_0_0_0_a2_2[6]),
1210
        .datad(w_alu_res_1_3_0),
1211
        .aclr(GND),
1212
        .sclr(GND),
1213
        .sload(GND),
1214
        .ena(VCC),
1215
        .inverta(GND),
1216
        .aload(GND),
1217
        .regcascin(GND)
1218
);
1219
defparam status_4__Z.operation_mode="normal";
1220
defparam status_4__Z.output_mode="reg_only";
1221
defparam status_4__Z.lut_mask="af23";
1222
defparam status_4__Z.synch_mode="off";
1223
defparam status_4__Z.sum_lutc_input="datac";
1224
// @7:72
1225
  cyclone_lcell status_3__Z (
1226
        .regout(status[3]),
1227
        .clk(clk_c),
1228
        .dataa(status[3]),
1229
        .datab(status_0_0_0_a2_1[6]),
1230
        .datac(status_0_0_0_a2_2[6]),
1231
        .datad(w_alu_res_1_1_0),
1232
        .aclr(GND),
1233
        .sclr(GND),
1234
        .sload(GND),
1235
        .ena(VCC),
1236
        .inverta(GND),
1237
        .aload(GND),
1238
        .regcascin(GND)
1239
);
1240
defparam status_3__Z.operation_mode="normal";
1241
defparam status_3__Z.output_mode="reg_only";
1242
defparam status_3__Z.lut_mask="af23";
1243
defparam status_3__Z.synch_mode="off";
1244
defparam status_3__Z.sum_lutc_input="datac";
1245
// @7:72
1246
  cyclone_lcell status_2__Z (
1247
        .regout(status[2]),
1248
        .clk(clk_c),
1249
        .dataa(status_0_0_0_a2_2[6]),
1250
        .datab(status_0_0_0_a2_1[6]),
1251
        .datac(w_alu_res_1_0_2),
1252
        .datad(status_0_i_0_a[2]),
1253
        .aclr(GND),
1254
        .sclr(GND),
1255
        .sload(GND),
1256
        .ena(VCC),
1257
        .inverta(GND),
1258
        .aload(GND),
1259
        .regcascin(GND)
1260
);
1261
defparam status_2__Z.operation_mode="normal";
1262
defparam status_2__Z.output_mode="reg_only";
1263
defparam status_2__Z.lut_mask="51f3";
1264
defparam status_2__Z.synch_mode="off";
1265
defparam status_2__Z.sum_lutc_input="datac";
1266
// @7:72
1267
  cyclone_lcell status_1__Z (
1268
        .regout(status[1]),
1269
        .clk(clk_c),
1270
        .dataa(status[1]),
1271
        .datab(status_0_0_0_a2_1[6]),
1272
        .datac(status_0_0_0_a2_2[6]),
1273
        .datad(w_alu_res_1_0_1),
1274
        .aclr(GND),
1275
        .sclr(GND),
1276
        .sload(GND),
1277
        .ena(VCC),
1278
        .inverta(GND),
1279
        .aload(GND),
1280
        .regcascin(GND)
1281
);
1282
defparam status_1__Z.operation_mode="normal";
1283
defparam status_1__Z.output_mode="reg_only";
1284
defparam status_1__Z.lut_mask="af23";
1285
defparam status_1__Z.synch_mode="off";
1286
defparam status_1__Z.sum_lutc_input="datac";
1287
// @7:72
1288
  cyclone_lcell status_0__Z (
1289
        .regout(status[0]),
1290
        .clk(clk_c),
1291
        .dataa(status_0_0_0_a2_1[6]),
1292
        .datab(status_0_0_0_a2_2[6]),
1293
        .datac(w_alu_res_1_0_0),
1294
        .datad(status_6[0]),
1295
        .aclr(GND),
1296
        .sclr(GND),
1297
        .sload(GND),
1298
        .ena(VCC),
1299
        .inverta(GND),
1300
        .aload(GND),
1301
        .regcascin(GND)
1302
);
1303
defparam status_0__Z.operation_mode="normal";
1304
defparam status_0__Z.output_mode="reg_only";
1305
defparam status_0__Z.lut_mask="f531";
1306
defparam status_0__Z.synch_mode="off";
1307
defparam status_0__Z.sum_lutc_input="datac";
1308
// @7:121
1309
  cyclone_lcell out1_7__Z (
1310
        .regout(out1_7),
1311
        .clk(clk_c),
1312
        .dataa(w_c_2mem_i_a3),
1313
        .datab(un11_w_alu_res_add7),
1314
        .datac(w_alu_res_1_6_1_2),
1315
        .datad(w_alu_res_1_6_a_2),
1316
        .aclr(GND),
1317
        .sclr(rst_i_i_i),
1318
        .sload(GND),
1319
        .ena(G_271),
1320
        .inverta(GND),
1321
        .aload(GND),
1322
        .regcascin(GND)
1323
);
1324
defparam out1_7__Z.operation_mode="normal";
1325
defparam out1_7__Z.output_mode="reg_only";
1326
defparam out1_7__Z.lut_mask="f8ff";
1327
defparam out1_7__Z.synch_mode="on";
1328
defparam out1_7__Z.sum_lutc_input="datac";
1329
// @7:121
1330
  cyclone_lcell out1_6__Z (
1331
        .regout(out1_6),
1332
        .clk(clk_c),
1333
        .dataa(w_c_2mem_i_a3),
1334
        .datab(un11_w_alu_res_add6),
1335
        .datac(w_alu_res_1_6_1_1),
1336
        .datad(w_alu_res_1_6_a_1),
1337
        .aclr(GND),
1338
        .sclr(rst_i_i_i),
1339
        .sload(GND),
1340
        .ena(G_271),
1341
        .inverta(GND),
1342
        .aload(GND),
1343
        .regcascin(GND)
1344
);
1345
defparam out1_6__Z.operation_mode="normal";
1346
defparam out1_6__Z.output_mode="reg_only";
1347
defparam out1_6__Z.lut_mask="f8ff";
1348
defparam out1_6__Z.synch_mode="on";
1349
defparam out1_6__Z.sum_lutc_input="datac";
1350
// @7:121
1351
  cyclone_lcell out1_5__Z (
1352
        .regout(out1_5),
1353
        .clk(clk_c),
1354
        .dataa(w_c_2mem_i_a3),
1355
        .datab(un11_w_alu_res_add5),
1356
        .datac(w_alu_res_1_6_1_0),
1357
        .datad(w_alu_res_1_6_a_0),
1358
        .aclr(GND),
1359
        .sclr(rst_i_i_i),
1360
        .sload(GND),
1361
        .ena(G_271),
1362
        .inverta(GND),
1363
        .aload(GND),
1364
        .regcascin(GND)
1365
);
1366
defparam out1_5__Z.operation_mode="normal";
1367
defparam out1_5__Z.output_mode="reg_only";
1368
defparam out1_5__Z.lut_mask="f8ff";
1369
defparam out1_5__Z.synch_mode="on";
1370
defparam out1_5__Z.sum_lutc_input="datac";
1371
// @7:121
1372
  cyclone_lcell out1_4__Z (
1373
        .regout(out1_4),
1374
        .clk(clk_c),
1375
        .dataa(w_c_2mem_i_a3),
1376
        .datab(un11_w_alu_res_add4),
1377
        .datac(w_alu_res_1_3_1_0),
1378
        .datad(w_alu_res_1_3_a_0),
1379
        .aclr(GND),
1380
        .sclr(rst_i_i_i),
1381
        .sload(GND),
1382
        .ena(G_271),
1383
        .inverta(GND),
1384
        .aload(GND),
1385
        .regcascin(GND)
1386
);
1387
defparam out1_4__Z.operation_mode="normal";
1388
defparam out1_4__Z.output_mode="reg_only";
1389
defparam out1_4__Z.lut_mask="f8ff";
1390
defparam out1_4__Z.synch_mode="on";
1391
defparam out1_4__Z.sum_lutc_input="datac";
1392
// @7:121
1393
  cyclone_lcell out1_3__Z (
1394
        .regout(out1_3),
1395
        .clk(clk_c),
1396
        .dataa(w_c_2mem_i_a3),
1397
        .datab(un11_w_alu_res_add3),
1398
        .datac(w_alu_res_1_1_1_0),
1399
        .datad(w_alu_res_1_1_a_0),
1400
        .aclr(GND),
1401
        .sclr(rst_i_i_i),
1402
        .sload(GND),
1403
        .ena(G_271),
1404
        .inverta(GND),
1405
        .aload(GND),
1406
        .regcascin(GND)
1407
);
1408
defparam out1_3__Z.operation_mode="normal";
1409
defparam out1_3__Z.output_mode="reg_only";
1410
defparam out1_3__Z.lut_mask="f8ff";
1411
defparam out1_3__Z.synch_mode="on";
1412
defparam out1_3__Z.sum_lutc_input="datac";
1413
// @7:121
1414
  cyclone_lcell out1_2__Z (
1415
        .regout(out1_2),
1416
        .clk(clk_c),
1417
        .dataa(VCC),
1418
        .datab(VCC),
1419
        .datac(w_alu_res_1_0_a2_0_2),
1420
        .datad(w_alu_res_1_0_0_0),
1421
        .aclr(GND),
1422
        .sclr(rst_i_i_i),
1423
        .sload(GND),
1424
        .ena(G_271),
1425
        .inverta(GND),
1426
        .aload(GND),
1427
        .regcascin(GND)
1428
);
1429
defparam out1_2__Z.operation_mode="normal";
1430
defparam out1_2__Z.output_mode="reg_only";
1431
defparam out1_2__Z.lut_mask="fff0";
1432
defparam out1_2__Z.synch_mode="on";
1433
defparam out1_2__Z.sum_lutc_input="datac";
1434
// @7:121
1435
  cyclone_lcell out1_1__Z (
1436
        .regout(out1_1),
1437
        .clk(clk_c),
1438
        .dataa(w_alu_res_1_0_a2_2_0_1),
1439
        .datab(dout_1),
1440
        .datac(w_alu_res_1_0_a2_1_1),
1441
        .datad(w_alu_res_1_0_a2_0_1),
1442
        .aclr(GND),
1443
        .sclr(rst_i_i_i),
1444
        .sload(GND),
1445
        .ena(G_271),
1446
        .inverta(GND),
1447
        .aload(GND),
1448
        .regcascin(GND)
1449
);
1450
defparam out1_1__Z.operation_mode="normal";
1451
defparam out1_1__Z.output_mode="reg_only";
1452
defparam out1_1__Z.lut_mask="fff8";
1453
defparam out1_1__Z.synch_mode="on";
1454
defparam out1_1__Z.sum_lutc_input="datac";
1455
// @7:121
1456
  cyclone_lcell out1_0__Z (
1457
        .regout(out1_0),
1458
        .clk(clk_c),
1459
        .dataa(w_alu_res_1_0_a2_2_0_0),
1460
        .datab(dout_0),
1461
        .datac(w_alu_res_1_0_a2_1_0),
1462
        .datad(w_alu_res_1_0_a2_0_0),
1463
        .aclr(GND),
1464
        .sclr(rst_i_i_i),
1465
        .sload(GND),
1466
        .ena(G_271),
1467
        .inverta(GND),
1468
        .aload(GND),
1469
        .regcascin(GND)
1470
);
1471
defparam out1_0__Z.operation_mode="normal";
1472
defparam out1_0__Z.output_mode="reg_only";
1473
defparam out1_0__Z.lut_mask="fff8";
1474
defparam out1_0__Z.synch_mode="on";
1475
defparam out1_0__Z.sum_lutc_input="datac";
1476
// @7:113
1477
  cyclone_lcell out0_7__Z (
1478
        .regout(out0_7),
1479
        .clk(clk_c),
1480
        .dataa(w_c_2mem_i_a3),
1481
        .datab(un11_w_alu_res_add7),
1482
        .datac(w_alu_res_1_6_1_2),
1483
        .datad(w_alu_res_1_6_a_2),
1484
        .aclr(GND),
1485
        .sclr(rst_i_i_i),
1486
        .sload(GND),
1487
        .ena(G_279),
1488
        .inverta(GND),
1489
        .aload(GND),
1490
        .regcascin(GND)
1491
);
1492
defparam out0_7__Z.operation_mode="normal";
1493
defparam out0_7__Z.output_mode="reg_only";
1494
defparam out0_7__Z.lut_mask="f8ff";
1495
defparam out0_7__Z.synch_mode="on";
1496
defparam out0_7__Z.sum_lutc_input="datac";
1497
// @7:113
1498
  cyclone_lcell out0_6__Z (
1499
        .regout(out0_6),
1500
        .clk(clk_c),
1501
        .dataa(w_c_2mem_i_a3),
1502
        .datab(un11_w_alu_res_add6),
1503
        .datac(w_alu_res_1_6_1_1),
1504
        .datad(w_alu_res_1_6_a_1),
1505
        .aclr(GND),
1506
        .sclr(rst_i_i_i),
1507
        .sload(GND),
1508
        .ena(G_279),
1509
        .inverta(GND),
1510
        .aload(GND),
1511
        .regcascin(GND)
1512
);
1513
defparam out0_6__Z.operation_mode="normal";
1514
defparam out0_6__Z.output_mode="reg_only";
1515
defparam out0_6__Z.lut_mask="f8ff";
1516
defparam out0_6__Z.synch_mode="on";
1517
defparam out0_6__Z.sum_lutc_input="datac";
1518
// @7:113
1519
  cyclone_lcell out0_5__Z (
1520
        .regout(out0_5),
1521
        .clk(clk_c),
1522
        .dataa(w_c_2mem_i_a3),
1523
        .datab(un11_w_alu_res_add5),
1524
        .datac(w_alu_res_1_6_1_0),
1525
        .datad(w_alu_res_1_6_a_0),
1526
        .aclr(GND),
1527
        .sclr(rst_i_i_i),
1528
        .sload(GND),
1529
        .ena(G_279),
1530
        .inverta(GND),
1531
        .aload(GND),
1532
        .regcascin(GND)
1533
);
1534
defparam out0_5__Z.operation_mode="normal";
1535
defparam out0_5__Z.output_mode="reg_only";
1536
defparam out0_5__Z.lut_mask="f8ff";
1537
defparam out0_5__Z.synch_mode="on";
1538
defparam out0_5__Z.sum_lutc_input="datac";
1539
// @7:113
1540
  cyclone_lcell out0_4__Z (
1541
        .regout(out0_4),
1542
        .clk(clk_c),
1543
        .dataa(w_c_2mem_i_a3),
1544
        .datab(un11_w_alu_res_add4),
1545
        .datac(w_alu_res_1_3_1_0),
1546
        .datad(w_alu_res_1_3_a_0),
1547
        .aclr(GND),
1548
        .sclr(rst_i_i_i),
1549
        .sload(GND),
1550
        .ena(G_279),
1551
        .inverta(GND),
1552
        .aload(GND),
1553
        .regcascin(GND)
1554
);
1555
defparam out0_4__Z.operation_mode="normal";
1556
defparam out0_4__Z.output_mode="reg_only";
1557
defparam out0_4__Z.lut_mask="f8ff";
1558
defparam out0_4__Z.synch_mode="on";
1559
defparam out0_4__Z.sum_lutc_input="datac";
1560
// @7:113
1561
  cyclone_lcell out0_3__Z (
1562
        .regout(out0_3),
1563
        .clk(clk_c),
1564
        .dataa(w_c_2mem_i_a3),
1565
        .datab(un11_w_alu_res_add3),
1566
        .datac(w_alu_res_1_1_1_0),
1567
        .datad(w_alu_res_1_1_a_0),
1568
        .aclr(GND),
1569
        .sclr(rst_i_i_i),
1570
        .sload(GND),
1571
        .ena(G_279),
1572
        .inverta(GND),
1573
        .aload(GND),
1574
        .regcascin(GND)
1575
);
1576
defparam out0_3__Z.operation_mode="normal";
1577
defparam out0_3__Z.output_mode="reg_only";
1578
defparam out0_3__Z.lut_mask="f8ff";
1579
defparam out0_3__Z.synch_mode="on";
1580
defparam out0_3__Z.sum_lutc_input="datac";
1581
// @7:113
1582
  cyclone_lcell out0_2__Z (
1583
        .regout(out0_2),
1584
        .clk(clk_c),
1585
        .dataa(VCC),
1586
        .datab(VCC),
1587
        .datac(w_alu_res_1_0_a2_0_2),
1588
        .datad(w_alu_res_1_0_0_0),
1589
        .aclr(GND),
1590
        .sclr(rst_i_i_i),
1591
        .sload(GND),
1592
        .ena(G_279),
1593
        .inverta(GND),
1594
        .aload(GND),
1595
        .regcascin(GND)
1596
);
1597
defparam out0_2__Z.operation_mode="normal";
1598
defparam out0_2__Z.output_mode="reg_only";
1599
defparam out0_2__Z.lut_mask="fff0";
1600
defparam out0_2__Z.synch_mode="on";
1601
defparam out0_2__Z.sum_lutc_input="datac";
1602
// @7:113
1603
  cyclone_lcell out0_1__Z (
1604
        .regout(out0_1),
1605
        .clk(clk_c),
1606
        .dataa(w_alu_res_1_0_a2_2_0_1),
1607
        .datab(dout_1),
1608
        .datac(w_alu_res_1_0_a2_1_1),
1609
        .datad(w_alu_res_1_0_a2_0_1),
1610
        .aclr(GND),
1611
        .sclr(rst_i_i_i),
1612
        .sload(GND),
1613
        .ena(G_279),
1614
        .inverta(GND),
1615
        .aload(GND),
1616
        .regcascin(GND)
1617
);
1618
defparam out0_1__Z.operation_mode="normal";
1619
defparam out0_1__Z.output_mode="reg_only";
1620
defparam out0_1__Z.lut_mask="fff8";
1621
defparam out0_1__Z.synch_mode="on";
1622
defparam out0_1__Z.sum_lutc_input="datac";
1623
// @7:113
1624
  cyclone_lcell out0_0__Z (
1625
        .regout(out0_0),
1626
        .clk(clk_c),
1627
        .dataa(w_alu_res_1_0_a2_2_0_0),
1628
        .datab(dout_0),
1629
        .datac(w_alu_res_1_0_a2_1_0),
1630
        .datad(w_alu_res_1_0_a2_0_0),
1631
        .aclr(GND),
1632
        .sclr(rst_i_i_i),
1633
        .sload(GND),
1634
        .ena(G_279),
1635
        .inverta(GND),
1636
        .aload(GND),
1637
        .regcascin(GND)
1638
);
1639
defparam out0_0__Z.operation_mode="normal";
1640
defparam out0_0__Z.output_mode="reg_only";
1641
defparam out0_0__Z.lut_mask="fff8";
1642
defparam out0_0__Z.synch_mode="on";
1643
defparam out0_0__Z.sum_lutc_input="datac";
1644
// @7:100
1645
  cyclone_lcell fsr_7__Z (
1646
        .regout(fsr[7]),
1647
        .clk(clk_c),
1648
        .dataa(w_c_2mem_i_a3),
1649
        .datab(un11_w_alu_res_add7),
1650
        .datac(w_alu_res_1_6_1_2),
1651
        .datad(w_alu_res_1_6_a_2),
1652
        .aclr(GND),
1653
        .sclr(rst_i_i_i),
1654
        .sload(GND),
1655
        .ena(G_287),
1656
        .inverta(GND),
1657
        .aload(GND),
1658
        .regcascin(GND)
1659
);
1660
defparam fsr_7__Z.operation_mode="normal";
1661
defparam fsr_7__Z.output_mode="reg_only";
1662
defparam fsr_7__Z.lut_mask="f8ff";
1663
defparam fsr_7__Z.synch_mode="on";
1664
defparam fsr_7__Z.sum_lutc_input="datac";
1665
// @7:100
1666
  cyclone_lcell fsr_6__Z (
1667
        .regout(fsr[6]),
1668
        .clk(clk_c),
1669
        .dataa(w_c_2mem_i_a3),
1670
        .datab(un11_w_alu_res_add6),
1671
        .datac(w_alu_res_1_6_1_1),
1672
        .datad(w_alu_res_1_6_a_1),
1673
        .aclr(GND),
1674
        .sclr(rst_i_i_i),
1675
        .sload(GND),
1676
        .ena(G_287),
1677
        .inverta(GND),
1678
        .aload(GND),
1679
        .regcascin(GND)
1680
);
1681
defparam fsr_6__Z.operation_mode="normal";
1682
defparam fsr_6__Z.output_mode="reg_only";
1683
defparam fsr_6__Z.lut_mask="f8ff";
1684
defparam fsr_6__Z.synch_mode="on";
1685
defparam fsr_6__Z.sum_lutc_input="datac";
1686
// @7:100
1687
  cyclone_lcell fsr_5__Z (
1688
        .regout(fsr[5]),
1689
        .clk(clk_c),
1690
        .dataa(w_c_2mem_i_a3),
1691
        .datab(un11_w_alu_res_add5),
1692
        .datac(w_alu_res_1_6_1_0),
1693
        .datad(w_alu_res_1_6_a_0),
1694
        .aclr(GND),
1695
        .sclr(rst_i_i_i),
1696
        .sload(GND),
1697
        .ena(G_287),
1698
        .inverta(GND),
1699
        .aload(GND),
1700
        .regcascin(GND)
1701
);
1702
defparam fsr_5__Z.operation_mode="normal";
1703
defparam fsr_5__Z.output_mode="reg_only";
1704
defparam fsr_5__Z.lut_mask="f8ff";
1705
defparam fsr_5__Z.synch_mode="on";
1706
defparam fsr_5__Z.sum_lutc_input="datac";
1707
// @7:100
1708
  cyclone_lcell fsr_4__Z (
1709
        .regout(fsr[4]),
1710
        .clk(clk_c),
1711
        .dataa(w_c_2mem_i_a3),
1712
        .datab(un11_w_alu_res_add4),
1713
        .datac(w_alu_res_1_3_1_0),
1714
        .datad(w_alu_res_1_3_a_0),
1715
        .aclr(GND),
1716
        .sclr(rst_i_i_i),
1717
        .sload(GND),
1718
        .ena(G_287),
1719
        .inverta(GND),
1720
        .aload(GND),
1721
        .regcascin(GND)
1722
);
1723
defparam fsr_4__Z.operation_mode="normal";
1724
defparam fsr_4__Z.output_mode="reg_only";
1725
defparam fsr_4__Z.lut_mask="f8ff";
1726
defparam fsr_4__Z.synch_mode="on";
1727
defparam fsr_4__Z.sum_lutc_input="datac";
1728
// @7:100
1729
  cyclone_lcell fsr_3__Z (
1730
        .regout(fsr[3]),
1731
        .clk(clk_c),
1732
        .dataa(w_c_2mem_i_a3),
1733
        .datab(un11_w_alu_res_add3),
1734
        .datac(w_alu_res_1_1_1_0),
1735
        .datad(w_alu_res_1_1_a_0),
1736
        .aclr(GND),
1737
        .sclr(rst_i_i_i),
1738
        .sload(GND),
1739
        .ena(G_287),
1740
        .inverta(GND),
1741
        .aload(GND),
1742
        .regcascin(GND)
1743
);
1744
defparam fsr_3__Z.operation_mode="normal";
1745
defparam fsr_3__Z.output_mode="reg_only";
1746
defparam fsr_3__Z.lut_mask="f8ff";
1747
defparam fsr_3__Z.synch_mode="on";
1748
defparam fsr_3__Z.sum_lutc_input="datac";
1749
// @7:100
1750
  cyclone_lcell fsr_2__Z (
1751
        .regout(fsr[2]),
1752
        .clk(clk_c),
1753
        .dataa(VCC),
1754
        .datab(VCC),
1755
        .datac(w_alu_res_1_0_a2_0_2),
1756
        .datad(w_alu_res_1_0_0_0),
1757
        .aclr(GND),
1758
        .sclr(rst_i_i_i),
1759
        .sload(GND),
1760
        .ena(G_287),
1761
        .inverta(GND),
1762
        .aload(GND),
1763
        .regcascin(GND)
1764
);
1765
defparam fsr_2__Z.operation_mode="normal";
1766
defparam fsr_2__Z.output_mode="reg_only";
1767
defparam fsr_2__Z.lut_mask="fff0";
1768
defparam fsr_2__Z.synch_mode="on";
1769
defparam fsr_2__Z.sum_lutc_input="datac";
1770
// @7:100
1771
  cyclone_lcell fsr_1__Z (
1772
        .regout(fsr[1]),
1773
        .clk(clk_c),
1774
        .dataa(w_alu_res_1_0_a2_2_0_1),
1775
        .datab(dout_1),
1776
        .datac(w_alu_res_1_0_a2_1_1),
1777
        .datad(w_alu_res_1_0_a2_0_1),
1778
        .aclr(GND),
1779
        .sclr(rst_i_i_i),
1780
        .sload(GND),
1781
        .ena(G_287),
1782
        .inverta(GND),
1783
        .aload(GND),
1784
        .regcascin(GND)
1785
);
1786
defparam fsr_1__Z.operation_mode="normal";
1787
defparam fsr_1__Z.output_mode="reg_only";
1788
defparam fsr_1__Z.lut_mask="fff8";
1789
defparam fsr_1__Z.synch_mode="on";
1790
defparam fsr_1__Z.sum_lutc_input="datac";
1791
// @7:100
1792
  cyclone_lcell fsr_0__Z (
1793
        .regout(fsr[0]),
1794
        .clk(clk_c),
1795
        .dataa(w_alu_res_1_0_a2_2_0_0),
1796
        .datab(dout_0),
1797
        .datac(w_alu_res_1_0_a2_1_0),
1798
        .datad(w_alu_res_1_0_a2_0_0),
1799
        .aclr(GND),
1800
        .sclr(rst_i_i_i),
1801
        .sload(GND),
1802
        .ena(G_287),
1803
        .inverta(GND),
1804
        .aload(GND),
1805
        .regcascin(GND)
1806
);
1807
defparam fsr_0__Z.operation_mode="normal";
1808
defparam fsr_0__Z.output_mode="reg_only";
1809
defparam fsr_0__Z.lut_mask="fff8";
1810
defparam fsr_0__Z.synch_mode="on";
1811
defparam fsr_0__Z.sum_lutc_input="datac";
1812
// @7:72
1813
  cyclone_lcell status_0_i_0_a_2_ (
1814
        .combout(status_0_i_0_a[2]),
1815
        .dataa(VCC),
1816
        .datab(status[2]),
1817
        .datac(w_z_wr_r),
1818
        .datad(w_z_0_a2),
1819
        .aclr(GND),
1820
        .sclr(GND),
1821
        .sload(GND),
1822
        .ena(VCC),
1823
        .inverta(GND),
1824
        .aload(GND),
1825
        .regcascin(GND)
1826
);
1827
defparam status_0_i_0_a_2_.operation_mode="normal";
1828
defparam status_0_i_0_a_2_.output_mode="comb_only";
1829
defparam status_0_i_0_a_2_.lut_mask="03f3";
1830
defparam status_0_i_0_a_2_.synch_mode="off";
1831
defparam status_0_i_0_a_2_.sum_lutc_input="datac";
1832
// @7:79
1833
  cyclone_lcell status_6_0_ (
1834
        .combout(status_6[0]),
1835
        .dataa(status[0]),
1836
        .datab(w_c_wr_r),
1837
        .datac(status_6_a[0]),
1838
        .datad(N_796),
1839
        .aclr(GND),
1840
        .sclr(GND),
1841
        .sload(GND),
1842
        .ena(VCC),
1843
        .inverta(GND),
1844
        .aload(GND),
1845
        .regcascin(GND)
1846
);
1847
defparam status_6_0_.operation_mode="normal";
1848
defparam status_6_0_.output_mode="comb_only";
1849
defparam status_6_0_.lut_mask="22e2";
1850
defparam status_6_0_.synch_mode="off";
1851
defparam status_6_0_.sum_lutc_input="datac";
1852
// @7:79
1853
  cyclone_lcell status_6_a_0_ (
1854
        .combout(status_6_a[0]),
1855
        .dataa(VCC),
1856
        .datab(w_c_2mem_i_a2_0_0),
1857
        .datac(w_c_2mem_i_a3),
1858
        .datad(un11_w_alu_res_carry_7),
1859
        .aclr(GND),
1860
        .sclr(GND),
1861
        .sload(GND),
1862
        .ena(VCC),
1863
        .inverta(GND),
1864
        .aload(GND),
1865
        .regcascin(GND)
1866
);
1867
defparam status_6_a_0_.operation_mode="normal";
1868
defparam status_6_a_0_.output_mode="comb_only";
1869
defparam status_6_a_0_.lut_mask="330f";
1870
defparam status_6_a_0_.synch_mode="off";
1871
defparam status_6_a_0_.sum_lutc_input="datac";
1872
// @7:72
1873
  cyclone_lcell status_0_0_0_a2_1_6_ (
1874
        .combout(status_0_0_0_a2_1[6]),
1875
        .dataa(rst_c),
1876
        .datab(w_ek_r_2),
1877
        .datac(w_ek_r_0),
1878
        .datad(write_out0_0_a3_0_o2),
1879
        .aclr(GND),
1880
        .sclr(GND),
1881
        .sload(GND),
1882
        .ena(VCC),
1883
        .inverta(GND),
1884
        .aload(GND),
1885
        .regcascin(GND)
1886
);
1887
defparam status_0_0_0_a2_1_6_.operation_mode="normal";
1888
defparam status_0_0_0_a2_1_6_.output_mode="comb_only";
1889
defparam status_0_0_0_a2_1_6_.lut_mask="0010";
1890
defparam status_0_0_0_a2_1_6_.synch_mode="off";
1891
defparam status_0_0_0_a2_1_6_.sum_lutc_input="datac";
1892
// @7:72
1893
  cyclone_lcell status_0_0_0_a2_2_6_ (
1894
        .combout(status_0_0_0_a2_2[6]),
1895
        .dataa(rst_c),
1896
        .datab(w_ek_r_2),
1897
        .datac(w_ek_r_0),
1898
        .datad(write_out0_0_a3_0_o2),
1899
        .aclr(GND),
1900
        .sclr(GND),
1901
        .sload(GND),
1902
        .ena(VCC),
1903
        .inverta(GND),
1904
        .aload(GND),
1905
        .regcascin(GND)
1906
);
1907
defparam status_0_0_0_a2_2_6_.operation_mode="normal";
1908
defparam status_0_0_0_a2_2_6_.output_mode="comb_only";
1909
defparam status_0_0_0_a2_2_6_.lut_mask="5545";
1910
defparam status_0_0_0_a2_2_6_.synch_mode="off";
1911
defparam status_0_0_0_a2_2_6_.sum_lutc_input="datac";
1912
// @7:68
1913
  cyclone_lcell dout_sn_m5_e_0_a2_cZ (
1914
        .combout(dout_sn_m5_e_0_a2),
1915
        .dataa(w_ek_r_3),
1916
        .datab(w_ek_r_2),
1917
        .datac(dout_sn_m5_e_0_a2_a),
1918
        .datad(dout10),
1919
        .aclr(GND),
1920
        .sclr(GND),
1921
        .sload(GND),
1922
        .ena(VCC),
1923
        .inverta(GND),
1924
        .aload(GND),
1925
        .regcascin(GND)
1926
);
1927
defparam dout_sn_m5_e_0_a2_cZ.operation_mode="normal";
1928
defparam dout_sn_m5_e_0_a2_cZ.output_mode="comb_only";
1929
defparam dout_sn_m5_e_0_a2_cZ.lut_mask="00bf";
1930
defparam dout_sn_m5_e_0_a2_cZ.synch_mode="off";
1931
defparam dout_sn_m5_e_0_a2_cZ.sum_lutc_input="datac";
1932
// @7:68
1933
  cyclone_lcell dout_sn_m5_e_0_a2_a_cZ (
1934
        .combout(dout_sn_m5_e_0_a2_a),
1935
        .dataa(VCC),
1936
        .datab(w_ek_r_4),
1937
        .datac(w_ek_r_1),
1938
        .datad(w_ek_r_0),
1939
        .aclr(GND),
1940
        .sclr(GND),
1941
        .sload(GND),
1942
        .ena(VCC),
1943
        .inverta(GND),
1944
        .aload(GND),
1945
        .regcascin(GND)
1946
);
1947
defparam dout_sn_m5_e_0_a2_a_cZ.operation_mode="normal";
1948
defparam dout_sn_m5_e_0_a2_a_cZ.output_mode="comb_only";
1949
defparam dout_sn_m5_e_0_a2_a_cZ.lut_mask="0300";
1950
defparam dout_sn_m5_e_0_a2_a_cZ.synch_mode="off";
1951
defparam dout_sn_m5_e_0_a2_a_cZ.sum_lutc_input="datac";
1952
// @7:133
1953
  cyclone_lcell dout10_cZ (
1954
        .combout(dout10),
1955
        .dataa(w_ek_r_4),
1956
        .datab(w_ek_r_1),
1957
        .datac(w_ek_r_0),
1958
        .datad(dout10_2),
1959
        .aclr(GND),
1960
        .sclr(GND),
1961
        .sload(GND),
1962
        .ena(VCC),
1963
        .inverta(GND),
1964
        .aload(GND),
1965
        .regcascin(GND)
1966
);
1967
defparam dout10_cZ.operation_mode="normal";
1968
defparam dout10_cZ.output_mode="comb_only";
1969
defparam dout10_cZ.lut_mask="1000";
1970
defparam dout10_cZ.synch_mode="off";
1971
defparam dout10_cZ.sum_lutc_input="datac";
1972
// @7:131
1973
  cyclone_lcell dout8_cZ (
1974
        .combout(dout8),
1975
        .dataa(w_ek_r_4),
1976
        .datab(w_ek_r_1),
1977
        .datac(w_ek_r_0),
1978
        .datad(dout10_2),
1979
        .aclr(GND),
1980
        .sclr(GND),
1981
        .sload(GND),
1982
        .ena(VCC),
1983
        .inverta(GND),
1984
        .aload(GND),
1985
        .regcascin(GND)
1986
);
1987
defparam dout8_cZ.operation_mode="normal";
1988
defparam dout8_cZ.output_mode="comb_only";
1989
defparam dout8_cZ.lut_mask="4000";
1990
defparam dout8_cZ.synch_mode="off";
1991
defparam dout8_cZ.sum_lutc_input="datac";
1992
// @7:68
1993
  cyclone_lcell dout_sn_m6_0_a2_cZ (
1994
        .combout(dout_sn_m6_0_a2),
1995
        .dataa(w_ek_r_4),
1996
        .datab(dout7_1),
1997
        .datac(dout8),
1998
        .datad(dout_sn_m5_e_0_a2),
1999
        .aclr(GND),
2000
        .sclr(GND),
2001
        .sload(GND),
2002
        .ena(VCC),
2003
        .inverta(GND),
2004
        .aload(GND),
2005
        .regcascin(GND)
2006
);
2007
defparam dout_sn_m6_0_a2_cZ.operation_mode="normal";
2008
defparam dout_sn_m6_0_a2_cZ.output_mode="comb_only";
2009
defparam dout_sn_m6_0_a2_cZ.lut_mask="0b00";
2010
defparam dout_sn_m6_0_a2_cZ.synch_mode="off";
2011
defparam dout_sn_m6_0_a2_cZ.sum_lutc_input="datac";
2012
// @7:112
2013
  cyclone_lcell write_out0_0_a3_0_o2_cZ (
2014
        .combout(write_out0_0_a3_0_o2),
2015
        .dataa(w_mem_wr_r),
2016
        .datab(w_ek_r_3),
2017
        .datac(w_ek_r_4),
2018
        .datad(w_ek_r_1),
2019
        .aclr(GND),
2020
        .sclr(GND),
2021
        .sload(GND),
2022
        .ena(VCC),
2023
        .inverta(GND),
2024
        .aload(GND),
2025
        .regcascin(GND)
2026
);
2027
defparam write_out0_0_a3_0_o2_cZ.operation_mode="normal";
2028
defparam write_out0_0_a3_0_o2_cZ.output_mode="comb_only";
2029
defparam write_out0_0_a3_0_o2_cZ.lut_mask="fdff";
2030
defparam write_out0_0_a3_0_o2_cZ.synch_mode="off";
2031
defparam write_out0_0_a3_0_o2_cZ.sum_lutc_input="datac";
2032
// @7:129
2033
  cyclone_lcell dout_6_ (
2034
        .combout(dout_6),
2035
        .dataa(ram_q),
2036
        .datab(alt_ram_q[6]),
2037
        .datac(dout_sn_m6_0_a2),
2038
        .datad(dout_a[6]),
2039
        .aclr(GND),
2040
        .sclr(GND),
2041
        .sload(GND),
2042
        .ena(VCC),
2043
        .inverta(GND),
2044
        .aload(GND),
2045
        .regcascin(GND)
2046
);
2047
defparam dout_6_.operation_mode="normal";
2048
defparam dout_6_.output_mode="comb_only";
2049
defparam dout_6_.lut_mask="40ef";
2050
defparam dout_6_.synch_mode="off";
2051
defparam dout_6_.sum_lutc_input="datac";
2052
// @7:129
2053
  cyclone_lcell dout_a_6_ (
2054
        .combout(dout_a[6]),
2055
        .dataa(VCC),
2056
        .datab(din_r[6]),
2057
        .datac(dout_3_Z[6]),
2058
        .datad(dout_sn_m6_0_a2),
2059
        .aclr(GND),
2060
        .sclr(GND),
2061
        .sload(GND),
2062
        .ena(VCC),
2063
        .inverta(GND),
2064
        .aload(GND),
2065
        .regcascin(GND)
2066
);
2067
defparam dout_a_6_.operation_mode="normal";
2068
defparam dout_a_6_.output_mode="comb_only";
2069
defparam dout_a_6_.lut_mask="330f";
2070
defparam dout_a_6_.synch_mode="off";
2071
defparam dout_a_6_.sum_lutc_input="datac";
2072
// @7:129
2073
  cyclone_lcell dout_1_ (
2074
        .combout(dout_1),
2075
        .dataa(ram_q),
2076
        .datab(alt_ram_q[1]),
2077
        .datac(dout_sn_m6_0_a2),
2078
        .datad(dout_a[1]),
2079
        .aclr(GND),
2080
        .sclr(GND),
2081
        .sload(GND),
2082
        .ena(VCC),
2083
        .inverta(GND),
2084
        .aload(GND),
2085
        .regcascin(GND)
2086
);
2087
defparam dout_1_.operation_mode="normal";
2088
defparam dout_1_.output_mode="comb_only";
2089
defparam dout_1_.lut_mask="40ef";
2090
defparam dout_1_.synch_mode="off";
2091
defparam dout_1_.sum_lutc_input="datac";
2092
// @7:129
2093
  cyclone_lcell dout_a_1_ (
2094
        .combout(dout_a[1]),
2095
        .dataa(VCC),
2096
        .datab(din_r[1]),
2097
        .datac(dout_3_Z[1]),
2098
        .datad(dout_sn_m6_0_a2),
2099
        .aclr(GND),
2100
        .sclr(GND),
2101
        .sload(GND),
2102
        .ena(VCC),
2103
        .inverta(GND),
2104
        .aload(GND),
2105
        .regcascin(GND)
2106
);
2107
defparam dout_a_1_.operation_mode="normal";
2108
defparam dout_a_1_.output_mode="comb_only";
2109
defparam dout_a_1_.lut_mask="330f";
2110
defparam dout_a_1_.synch_mode="off";
2111
defparam dout_a_1_.sum_lutc_input="datac";
2112
// @7:129
2113
  cyclone_lcell dout_2_ (
2114
        .combout(dout_2),
2115
        .dataa(ram_q),
2116
        .datab(alt_ram_q[2]),
2117
        .datac(dout_sn_m6_0_a2),
2118
        .datad(dout_a[2]),
2119
        .aclr(GND),
2120
        .sclr(GND),
2121
        .sload(GND),
2122
        .ena(VCC),
2123
        .inverta(GND),
2124
        .aload(GND),
2125
        .regcascin(GND)
2126
);
2127
defparam dout_2_.operation_mode="normal";
2128
defparam dout_2_.output_mode="comb_only";
2129
defparam dout_2_.lut_mask="40ef";
2130
defparam dout_2_.synch_mode="off";
2131
defparam dout_2_.sum_lutc_input="datac";
2132
// @7:129
2133
  cyclone_lcell dout_a_2_ (
2134
        .combout(dout_a[2]),
2135
        .dataa(VCC),
2136
        .datab(din_r[2]),
2137
        .datac(dout_3_Z[2]),
2138
        .datad(dout_sn_m6_0_a2),
2139
        .aclr(GND),
2140
        .sclr(GND),
2141
        .sload(GND),
2142
        .ena(VCC),
2143
        .inverta(GND),
2144
        .aload(GND),
2145
        .regcascin(GND)
2146
);
2147
defparam dout_a_2_.operation_mode="normal";
2148
defparam dout_a_2_.output_mode="comb_only";
2149
defparam dout_a_2_.lut_mask="330f";
2150
defparam dout_a_2_.synch_mode="off";
2151
defparam dout_a_2_.sum_lutc_input="datac";
2152
// @7:129
2153
  cyclone_lcell dout_3_ (
2154
        .combout(dout_3),
2155
        .dataa(ram_q),
2156
        .datab(alt_ram_q[3]),
2157
        .datac(dout_sn_m6_0_a2),
2158
        .datad(dout_a[3]),
2159
        .aclr(GND),
2160
        .sclr(GND),
2161
        .sload(GND),
2162
        .ena(VCC),
2163
        .inverta(GND),
2164
        .aload(GND),
2165
        .regcascin(GND)
2166
);
2167
defparam dout_3_.operation_mode="normal";
2168
defparam dout_3_.output_mode="comb_only";
2169
defparam dout_3_.lut_mask="40ef";
2170
defparam dout_3_.synch_mode="off";
2171
defparam dout_3_.sum_lutc_input="datac";
2172
// @7:129
2173
  cyclone_lcell dout_a_3_ (
2174
        .combout(dout_a[3]),
2175
        .dataa(VCC),
2176
        .datab(din_r[3]),
2177
        .datac(dout_3_Z[3]),
2178
        .datad(dout_sn_m6_0_a2),
2179
        .aclr(GND),
2180
        .sclr(GND),
2181
        .sload(GND),
2182
        .ena(VCC),
2183
        .inverta(GND),
2184
        .aload(GND),
2185
        .regcascin(GND)
2186
);
2187
defparam dout_a_3_.operation_mode="normal";
2188
defparam dout_a_3_.output_mode="comb_only";
2189
defparam dout_a_3_.lut_mask="330f";
2190
defparam dout_a_3_.synch_mode="off";
2191
defparam dout_a_3_.sum_lutc_input="datac";
2192
// @7:129
2193
  cyclone_lcell dout_5_ (
2194
        .combout(dout_5),
2195
        .dataa(ram_q),
2196
        .datab(alt_ram_q[5]),
2197
        .datac(dout_sn_m6_0_a2),
2198
        .datad(dout_a[5]),
2199
        .aclr(GND),
2200
        .sclr(GND),
2201
        .sload(GND),
2202
        .ena(VCC),
2203
        .inverta(GND),
2204
        .aload(GND),
2205
        .regcascin(GND)
2206
);
2207
defparam dout_5_.operation_mode="normal";
2208
defparam dout_5_.output_mode="comb_only";
2209
defparam dout_5_.lut_mask="40ef";
2210
defparam dout_5_.synch_mode="off";
2211
defparam dout_5_.sum_lutc_input="datac";
2212
// @7:129
2213
  cyclone_lcell dout_a_5_ (
2214
        .combout(dout_a[5]),
2215
        .dataa(VCC),
2216
        .datab(din_r[5]),
2217
        .datac(dout_3_Z[5]),
2218
        .datad(dout_sn_m6_0_a2),
2219
        .aclr(GND),
2220
        .sclr(GND),
2221
        .sload(GND),
2222
        .ena(VCC),
2223
        .inverta(GND),
2224
        .aload(GND),
2225
        .regcascin(GND)
2226
);
2227
defparam dout_a_5_.operation_mode="normal";
2228
defparam dout_a_5_.output_mode="comb_only";
2229
defparam dout_a_5_.lut_mask="330f";
2230
defparam dout_a_5_.synch_mode="off";
2231
defparam dout_a_5_.sum_lutc_input="datac";
2232
// @7:129
2233
  cyclone_lcell dout_0_ (
2234
        .combout(dout_0),
2235
        .dataa(ram_q),
2236
        .datab(alt_ram_q[0]),
2237
        .datac(dout_sn_m6_0_a2),
2238
        .datad(dout_a[0]),
2239
        .aclr(GND),
2240
        .sclr(GND),
2241
        .sload(GND),
2242
        .ena(VCC),
2243
        .inverta(GND),
2244
        .aload(GND),
2245
        .regcascin(GND)
2246
);
2247
defparam dout_0_.operation_mode="normal";
2248
defparam dout_0_.output_mode="comb_only";
2249
defparam dout_0_.lut_mask="40ef";
2250
defparam dout_0_.synch_mode="off";
2251
defparam dout_0_.sum_lutc_input="datac";
2252
// @7:129
2253
  cyclone_lcell dout_a_0_ (
2254
        .combout(dout_a[0]),
2255
        .dataa(VCC),
2256
        .datab(din_r[0]),
2257
        .datac(dout_3_Z[0]),
2258
        .datad(dout_sn_m6_0_a2),
2259
        .aclr(GND),
2260
        .sclr(GND),
2261
        .sload(GND),
2262
        .ena(VCC),
2263
        .inverta(GND),
2264
        .aload(GND),
2265
        .regcascin(GND)
2266
);
2267
defparam dout_a_0_.operation_mode="normal";
2268
defparam dout_a_0_.output_mode="comb_only";
2269
defparam dout_a_0_.lut_mask="330f";
2270
defparam dout_a_0_.synch_mode="off";
2271
defparam dout_a_0_.sum_lutc_input="datac";
2272
// @7:129
2273
  cyclone_lcell dout_7_ (
2274
        .combout(dout_7),
2275
        .dataa(ram_q),
2276
        .datab(dout_3_Z[7]),
2277
        .datac(alt_ram_q[7]),
2278
        .datad(dout_sn_m6_0_a2),
2279
        .aclr(GND),
2280
        .sclr(GND),
2281
        .sload(GND),
2282
        .ena(VCC),
2283
        .inverta(GND),
2284
        .aload(GND),
2285
        .regcascin(GND)
2286
);
2287
defparam dout_7_.operation_mode="normal";
2288
defparam dout_7_.output_mode="comb_only";
2289
defparam dout_7_.lut_mask="50cc";
2290
defparam dout_7_.synch_mode="off";
2291
defparam dout_7_.sum_lutc_input="datac";
2292
// @7:129
2293
  cyclone_lcell dout_4_ (
2294
        .combout(dout_4),
2295
        .dataa(ram_q),
2296
        .datab(alt_ram_q[4]),
2297
        .datac(dout_sn_m6_0_a2),
2298
        .datad(dout_a[4]),
2299
        .aclr(GND),
2300
        .sclr(GND),
2301
        .sload(GND),
2302
        .ena(VCC),
2303
        .inverta(GND),
2304
        .aload(GND),
2305
        .regcascin(GND)
2306
);
2307
defparam dout_4_.operation_mode="normal";
2308
defparam dout_4_.output_mode="comb_only";
2309
defparam dout_4_.lut_mask="40ef";
2310
defparam dout_4_.synch_mode="off";
2311
defparam dout_4_.sum_lutc_input="datac";
2312
// @7:129
2313
  cyclone_lcell dout_a_4_ (
2314
        .combout(dout_a[4]),
2315
        .dataa(VCC),
2316
        .datab(din_r[4]),
2317
        .datac(dout_3_Z[4]),
2318
        .datad(dout_sn_m6_0_a2),
2319
        .aclr(GND),
2320
        .sclr(GND),
2321
        .sload(GND),
2322
        .ena(VCC),
2323
        .inverta(GND),
2324
        .aload(GND),
2325
        .regcascin(GND)
2326
);
2327
defparam dout_a_4_.operation_mode="normal";
2328
defparam dout_a_4_.output_mode="comb_only";
2329
defparam dout_a_4_.lut_mask="330f";
2330
defparam dout_a_4_.synch_mode="off";
2331
defparam dout_a_4_.sum_lutc_input="datac";
2332
// @7:129
2333
  cyclone_lcell dout_3_6_ (
2334
        .combout(dout_3_Z[6]),
2335
        .dataa(VCC),
2336
        .datab(dout_3_a[6]),
2337
        .datac(dout_1_Z[6]),
2338
        .datad(dout_sn_m5_e_0_a2),
2339
        .aclr(GND),
2340
        .sclr(GND),
2341
        .sload(GND),
2342
        .ena(VCC),
2343
        .inverta(GND),
2344
        .aload(GND),
2345
        .regcascin(GND)
2346
);
2347
defparam dout_3_6_.operation_mode="normal";
2348
defparam dout_3_6_.output_mode="comb_only";
2349
defparam dout_3_6_.lut_mask="33f0";
2350
defparam dout_3_6_.synch_mode="off";
2351
defparam dout_3_6_.sum_lutc_input="datac";
2352
// @7:129
2353
  cyclone_lcell dout_3_a_6_ (
2354
        .combout(dout_3_a[6]),
2355
        .dataa(VCC),
2356
        .datab(fsr[6]),
2357
        .datac(status[6]),
2358
        .datad(dout8),
2359
        .aclr(GND),
2360
        .sclr(GND),
2361
        .sload(GND),
2362
        .ena(VCC),
2363
        .inverta(GND),
2364
        .aload(GND),
2365
        .regcascin(GND)
2366
);
2367
defparam dout_3_a_6_.operation_mode="normal";
2368
defparam dout_3_a_6_.output_mode="comb_only";
2369
defparam dout_3_a_6_.lut_mask="0f33";
2370
defparam dout_3_a_6_.synch_mode="off";
2371
defparam dout_3_a_6_.sum_lutc_input="datac";
2372
// @7:129
2373
  cyclone_lcell dout_3_1_ (
2374
        .combout(dout_3_Z[1]),
2375
        .dataa(VCC),
2376
        .datab(dout_3_a[1]),
2377
        .datac(dout_1_Z[1]),
2378
        .datad(dout_sn_m5_e_0_a2),
2379
        .aclr(GND),
2380
        .sclr(GND),
2381
        .sload(GND),
2382
        .ena(VCC),
2383
        .inverta(GND),
2384
        .aload(GND),
2385
        .regcascin(GND)
2386
);
2387
defparam dout_3_1_.operation_mode="normal";
2388
defparam dout_3_1_.output_mode="comb_only";
2389
defparam dout_3_1_.lut_mask="33f0";
2390
defparam dout_3_1_.synch_mode="off";
2391
defparam dout_3_1_.sum_lutc_input="datac";
2392
// @7:129
2393
  cyclone_lcell dout_3_a_1_ (
2394
        .combout(dout_3_a[1]),
2395
        .dataa(VCC),
2396
        .datab(status[1]),
2397
        .datac(fsr[1]),
2398
        .datad(dout7_1),
2399
        .aclr(GND),
2400
        .sclr(GND),
2401
        .sload(GND),
2402
        .ena(VCC),
2403
        .inverta(GND),
2404
        .aload(GND),
2405
        .regcascin(GND)
2406
);
2407
defparam dout_3_a_1_.operation_mode="normal";
2408
defparam dout_3_a_1_.output_mode="comb_only";
2409
defparam dout_3_a_1_.lut_mask="0f33";
2410
defparam dout_3_a_1_.synch_mode="off";
2411
defparam dout_3_a_1_.sum_lutc_input="datac";
2412
// @7:129
2413
  cyclone_lcell dout_3_2_ (
2414
        .combout(dout_3_Z[2]),
2415
        .dataa(VCC),
2416
        .datab(dout_3_a[2]),
2417
        .datac(dout_1_Z[2]),
2418
        .datad(dout_sn_m5_e_0_a2),
2419
        .aclr(GND),
2420
        .sclr(GND),
2421
        .sload(GND),
2422
        .ena(VCC),
2423
        .inverta(GND),
2424
        .aload(GND),
2425
        .regcascin(GND)
2426
);
2427
defparam dout_3_2_.operation_mode="normal";
2428
defparam dout_3_2_.output_mode="comb_only";
2429
defparam dout_3_2_.lut_mask="33f0";
2430
defparam dout_3_2_.synch_mode="off";
2431
defparam dout_3_2_.sum_lutc_input="datac";
2432
// @7:129
2433
  cyclone_lcell dout_3_a_2_ (
2434
        .combout(dout_3_a[2]),
2435
        .dataa(VCC),
2436
        .datab(status[2]),
2437
        .datac(fsr[2]),
2438
        .datad(dout7_1),
2439
        .aclr(GND),
2440
        .sclr(GND),
2441
        .sload(GND),
2442
        .ena(VCC),
2443
        .inverta(GND),
2444
        .aload(GND),
2445
        .regcascin(GND)
2446
);
2447
defparam dout_3_a_2_.operation_mode="normal";
2448
defparam dout_3_a_2_.output_mode="comb_only";
2449
defparam dout_3_a_2_.lut_mask="0f33";
2450
defparam dout_3_a_2_.synch_mode="off";
2451
defparam dout_3_a_2_.sum_lutc_input="datac";
2452
// @7:129
2453
  cyclone_lcell dout_3_3_ (
2454
        .combout(dout_3_Z[3]),
2455
        .dataa(VCC),
2456
        .datab(dout_3_a[3]),
2457
        .datac(dout_1_Z[3]),
2458
        .datad(dout_sn_m5_e_0_a2),
2459
        .aclr(GND),
2460
        .sclr(GND),
2461
        .sload(GND),
2462
        .ena(VCC),
2463
        .inverta(GND),
2464
        .aload(GND),
2465
        .regcascin(GND)
2466
);
2467
defparam dout_3_3_.operation_mode="normal";
2468
defparam dout_3_3_.output_mode="comb_only";
2469
defparam dout_3_3_.lut_mask="33f0";
2470
defparam dout_3_3_.synch_mode="off";
2471
defparam dout_3_3_.sum_lutc_input="datac";
2472
// @7:129
2473
  cyclone_lcell dout_3_a_3_ (
2474
        .combout(dout_3_a[3]),
2475
        .dataa(VCC),
2476
        .datab(status[3]),
2477
        .datac(fsr[3]),
2478
        .datad(dout7_1),
2479
        .aclr(GND),
2480
        .sclr(GND),
2481
        .sload(GND),
2482
        .ena(VCC),
2483
        .inverta(GND),
2484
        .aload(GND),
2485
        .regcascin(GND)
2486
);
2487
defparam dout_3_a_3_.operation_mode="normal";
2488
defparam dout_3_a_3_.output_mode="comb_only";
2489
defparam dout_3_a_3_.lut_mask="0f33";
2490
defparam dout_3_a_3_.synch_mode="off";
2491
defparam dout_3_a_3_.sum_lutc_input="datac";
2492
// @7:129
2493
  cyclone_lcell dout_3_5_ (
2494
        .combout(dout_3_Z[5]),
2495
        .dataa(VCC),
2496
        .datab(dout_3_a[5]),
2497
        .datac(dout_1_Z[5]),
2498
        .datad(dout_sn_m5_e_0_a2),
2499
        .aclr(GND),
2500
        .sclr(GND),
2501
        .sload(GND),
2502
        .ena(VCC),
2503
        .inverta(GND),
2504
        .aload(GND),
2505
        .regcascin(GND)
2506
);
2507
defparam dout_3_5_.operation_mode="normal";
2508
defparam dout_3_5_.output_mode="comb_only";
2509
defparam dout_3_5_.lut_mask="33f0";
2510
defparam dout_3_5_.synch_mode="off";
2511
defparam dout_3_5_.sum_lutc_input="datac";
2512
// @7:129
2513
  cyclone_lcell dout_3_a_5_ (
2514
        .combout(dout_3_a[5]),
2515
        .dataa(VCC),
2516
        .datab(status[5]),
2517
        .datac(fsr[5]),
2518
        .datad(dout7_1),
2519
        .aclr(GND),
2520
        .sclr(GND),
2521
        .sload(GND),
2522
        .ena(VCC),
2523
        .inverta(GND),
2524
        .aload(GND),
2525
        .regcascin(GND)
2526
);
2527
defparam dout_3_a_5_.operation_mode="normal";
2528
defparam dout_3_a_5_.output_mode="comb_only";
2529
defparam dout_3_a_5_.lut_mask="0f33";
2530
defparam dout_3_a_5_.synch_mode="off";
2531
defparam dout_3_a_5_.sum_lutc_input="datac";
2532
// @7:130
2533
  cyclone_lcell dout7_1_cZ (
2534
        .combout(dout7_1),
2535
        .dataa(w_ek_r_3),
2536
        .datab(w_ek_r_1),
2537
        .datac(w_ek_r_2),
2538
        .datad(w_ek_r_0),
2539
        .aclr(GND),
2540
        .sclr(GND),
2541
        .sload(GND),
2542
        .ena(VCC),
2543
        .inverta(GND),
2544
        .aload(GND),
2545
        .regcascin(GND)
2546
);
2547
defparam dout7_1_cZ.operation_mode="normal";
2548
defparam dout7_1_cZ.output_mode="comb_only";
2549
defparam dout7_1_cZ.lut_mask="0010";
2550
defparam dout7_1_cZ.synch_mode="off";
2551
defparam dout7_1_cZ.sum_lutc_input="datac";
2552
// @7:129
2553
  cyclone_lcell dout_3_0_ (
2554
        .combout(dout_3_Z[0]),
2555
        .dataa(VCC),
2556
        .datab(dout_3_a[0]),
2557
        .datac(dout_1_Z[0]),
2558
        .datad(dout_sn_m5_e_0_a2),
2559
        .aclr(GND),
2560
        .sclr(GND),
2561
        .sload(GND),
2562
        .ena(VCC),
2563
        .inverta(GND),
2564
        .aload(GND),
2565
        .regcascin(GND)
2566
);
2567
defparam dout_3_0_.operation_mode="normal";
2568
defparam dout_3_0_.output_mode="comb_only";
2569
defparam dout_3_0_.lut_mask="33f0";
2570
defparam dout_3_0_.synch_mode="off";
2571
defparam dout_3_0_.sum_lutc_input="datac";
2572
// @7:129
2573
  cyclone_lcell dout_3_a_0_ (
2574
        .combout(dout_3_a[0]),
2575
        .dataa(VCC),
2576
        .datab(fsr[0]),
2577
        .datac(status[0]),
2578
        .datad(dout8),
2579
        .aclr(GND),
2580
        .sclr(GND),
2581
        .sload(GND),
2582
        .ena(VCC),
2583
        .inverta(GND),
2584
        .aload(GND),
2585
        .regcascin(GND)
2586
);
2587
defparam dout_3_a_0_.operation_mode="normal";
2588
defparam dout_3_a_0_.output_mode="comb_only";
2589
defparam dout_3_a_0_.lut_mask="0f33";
2590
defparam dout_3_a_0_.synch_mode="off";
2591
defparam dout_3_a_0_.sum_lutc_input="datac";
2592
// @7:129
2593
  cyclone_lcell dout_3_7_ (
2594
        .combout(dout_3_Z[7]),
2595
        .dataa(VCC),
2596
        .datab(dout_3_a[7]),
2597
        .datac(dout_1_Z[7]),
2598
        .datad(dout_sn_m5_e_0_a2),
2599
        .aclr(GND),
2600
        .sclr(GND),
2601
        .sload(GND),
2602
        .ena(VCC),
2603
        .inverta(GND),
2604
        .aload(GND),
2605
        .regcascin(GND)
2606
);
2607
defparam dout_3_7_.operation_mode="normal";
2608
defparam dout_3_7_.output_mode="comb_only";
2609
defparam dout_3_7_.lut_mask="33f0";
2610
defparam dout_3_7_.synch_mode="off";
2611
defparam dout_3_7_.sum_lutc_input="datac";
2612
// @7:129
2613
  cyclone_lcell dout_3_a_7_ (
2614
        .combout(dout_3_a[7]),
2615
        .dataa(VCC),
2616
        .datab(fsr[7]),
2617
        .datac(status[7]),
2618
        .datad(dout8),
2619
        .aclr(GND),
2620
        .sclr(GND),
2621
        .sload(GND),
2622
        .ena(VCC),
2623
        .inverta(GND),
2624
        .aload(GND),
2625
        .regcascin(GND)
2626
);
2627
defparam dout_3_a_7_.operation_mode="normal";
2628
defparam dout_3_a_7_.output_mode="comb_only";
2629
defparam dout_3_a_7_.lut_mask="0f33";
2630
defparam dout_3_a_7_.synch_mode="off";
2631
defparam dout_3_a_7_.sum_lutc_input="datac";
2632
// @7:129
2633
  cyclone_lcell dout_3_4_ (
2634
        .combout(dout_3_Z[4]),
2635
        .dataa(VCC),
2636
        .datab(dout_3_a[4]),
2637
        .datac(dout_1_Z[4]),
2638
        .datad(dout_sn_m5_e_0_a2),
2639
        .aclr(GND),
2640
        .sclr(GND),
2641
        .sload(GND),
2642
        .ena(VCC),
2643
        .inverta(GND),
2644
        .aload(GND),
2645
        .regcascin(GND)
2646
);
2647
defparam dout_3_4_.operation_mode="normal";
2648
defparam dout_3_4_.output_mode="comb_only";
2649
defparam dout_3_4_.lut_mask="33f0";
2650
defparam dout_3_4_.synch_mode="off";
2651
defparam dout_3_4_.sum_lutc_input="datac";
2652
// @7:129
2653
  cyclone_lcell dout_3_a_4_ (
2654
        .combout(dout_3_a[4]),
2655
        .dataa(VCC),
2656
        .datab(fsr[4]),
2657
        .datac(status[4]),
2658
        .datad(dout8),
2659
        .aclr(GND),
2660
        .sclr(GND),
2661
        .sload(GND),
2662
        .ena(VCC),
2663
        .inverta(GND),
2664
        .aload(GND),
2665
        .regcascin(GND)
2666
);
2667
defparam dout_3_a_4_.operation_mode="normal";
2668
defparam dout_3_a_4_.output_mode="comb_only";
2669
defparam dout_3_a_4_.lut_mask="0f33";
2670
defparam dout_3_a_4_.synch_mode="off";
2671
defparam dout_3_a_4_.sum_lutc_input="datac";
2672
// @7:133
2673
  cyclone_lcell dout10_2_cZ (
2674
        .combout(dout10_2),
2675
        .dataa(VCC),
2676
        .datab(VCC),
2677
        .datac(w_ek_r_3),
2678
        .datad(w_ek_r_2),
2679
        .aclr(GND),
2680
        .sclr(GND),
2681
        .sload(GND),
2682
        .ena(VCC),
2683
        .inverta(GND),
2684
        .aload(GND),
2685
        .regcascin(GND)
2686
);
2687
defparam dout10_2_cZ.operation_mode="normal";
2688
defparam dout10_2_cZ.output_mode="comb_only";
2689
defparam dout10_2_cZ.lut_mask="000f";
2690
defparam dout10_2_cZ.synch_mode="off";
2691
defparam dout10_2_cZ.sum_lutc_input="datac";
2692
//@7:133
2693
//@7:133
2694
// @7:58
2695
  ram128x8 i_reg_file (
2696
        .alt_ram_q_7(alt_ram_q[7]),
2697
        .alt_ram_q_6(alt_ram_q[6]),
2698
        .alt_ram_q_5(alt_ram_q[5]),
2699
        .alt_ram_q_4(alt_ram_q[4]),
2700
        .alt_ram_q_3(alt_ram_q[3]),
2701
        .alt_ram_q_2(alt_ram_q[2]),
2702
        .alt_ram_q_1(alt_ram_q[1]),
2703
        .alt_ram_q_0(alt_ram_q[0]),
2704
        .w_ins_4(w_ins_4),
2705
        .w_ins_3(w_ins_3),
2706
        .w_ins_2(w_ins_2),
2707
        .w_ins_1(w_ins_1),
2708
        .w_ins_0(w_ins_0),
2709
        .fsr_1(fsr[6]),
2710
        .fsr_0(fsr[5]),
2711
        .w_ek_r_4(w_ek_r_4),
2712
        .w_ek_r_3(w_ek_r_3),
2713
        .w_ek_r_2(w_ek_r_2),
2714
        .w_ek_r_1(w_ek_r_1),
2715
        .w_ek_r_0(w_ek_r_0),
2716
        .w_alu_res_1_6_2(w_alu_res_1_6_2),
2717
        .w_alu_res_1_6_1(w_alu_res_1_6_1),
2718
        .w_alu_res_1_6_0(w_alu_res_1_6_0),
2719
        .w_alu_res_1_3_0(w_alu_res_1_3_0),
2720
        .w_alu_res_1_1_0(w_alu_res_1_1_0),
2721
        .w_alu_res_1_0_2(w_alu_res_1_0_2),
2722
        .w_alu_res_1_0_1(w_alu_res_1_0_1),
2723
        .w_alu_res_1_0_0(w_alu_res_1_0_0),
2724
        .clk_c(clk_c),
2725
        .w_mem_wr_r(w_mem_wr_r)
2726
);
2727
  assign  rst_i_i_i = ~ rst_i_i;
2728
endmodule /* wb_mem_man */
2729
 
2730
// VQM4.1+
2731
module altsyncram_Z2 (
2732
  address_a,
2733
  clock0,
2734
  q_a,
2735
  q_b
2736
);
2737
input [6:0] address_a ;
2738
input clock0 ;
2739
output [7:0] q_a ;
2740
output [0:0] q_b ;
2741
altsyncram U1 (
2742
  .address_a(address_a),
2743
  .clock0(clock0),
2744
  .q_a(q_a),
2745
  .q_b(q_b)
2746
 );
2747
defparam U1.lpm_hint =  "ENABLE_RUNTIME_MOD=NO";
2748
defparam U1.intended_device_family =  "Cyclone";
2749
defparam U1.init_file =  "init_file.mif";
2750
defparam U1.operation_mode =  "ROM";
2751
defparam U1.width_byteena_a =  1;
2752
defparam U1.outdata_aclr_a =  "NONE";
2753
defparam U1.address_aclr_a =  "NONE";
2754
defparam U1.outdata_reg_a =  "UNREGISTERED";
2755
defparam U1.numwords_a =  128;
2756
defparam U1.widthad_a =  7;
2757
defparam U1.width_a =  8;
2758
endmodule /* altsyncram_Z2 */
2759
 
2760
// VQM4.1+
2761
module rom128x12 (
2762
  w_ins_7,
2763
  w_ins_6,
2764
  w_ins_4,
2765
  w_ins_3,
2766
  w_ins_2,
2767
  w_ins_1,
2768
  w_ins_0,
2769
  sclrsclrw_pc_nxt_0_0_a2_x_6,
2770
  sclrsclrw_pc_nxt_0_0_a2_x_5,
2771
  sclrsclrw_pc_nxt_0_0_a2_x_4,
2772
  sclrsclrw_pc_nxt_0_0_a2_x_3,
2773
  sclrsclrw_pc_nxt_0_0_a2_x_2,
2774
  sclrsclrw_pc_nxt_0_0_a2_x_1,
2775
  sclrsclrw_pc_nxt_0_0_a2_x_0,
2776
  w_mem_wr,
2777
  clk_c
2778
);
2779
output w_ins_7 ;
2780
output w_ins_6 ;
2781
output w_ins_4 ;
2782
output w_ins_3 ;
2783
output w_ins_2 ;
2784
output w_ins_1 ;
2785
output w_ins_0 ;
2786
input sclrsclrw_pc_nxt_0_0_a2_x_6 ;
2787
input sclrsclrw_pc_nxt_0_0_a2_x_5 ;
2788
input sclrsclrw_pc_nxt_0_0_a2_x_4 ;
2789
input sclrsclrw_pc_nxt_0_0_a2_x_3 ;
2790
input sclrsclrw_pc_nxt_0_0_a2_x_2 ;
2791
input sclrsclrw_pc_nxt_0_0_a2_x_1 ;
2792
input sclrsclrw_pc_nxt_0_0_a2_x_0 ;
2793
output w_mem_wr ;
2794
input clk_c ;
2795
wire w_ins_7 ;
2796
wire w_ins_6 ;
2797
wire w_ins_4 ;
2798
wire w_ins_3 ;
2799
wire w_ins_2 ;
2800
wire w_ins_1 ;
2801
wire w_ins_0 ;
2802
wire sclrsclrw_pc_nxt_0_0_a2_x_6 ;
2803
wire sclrsclrw_pc_nxt_0_0_a2_x_5 ;
2804
wire sclrsclrw_pc_nxt_0_0_a2_x_4 ;
2805
wire sclrsclrw_pc_nxt_0_0_a2_x_3 ;
2806
wire sclrsclrw_pc_nxt_0_0_a2_x_2 ;
2807
wire sclrsclrw_pc_nxt_0_0_a2_x_1 ;
2808
wire sclrsclrw_pc_nxt_0_0_a2_x_0 ;
2809
wire w_mem_wr ;
2810
wire clk_c ;
2811
wire [0:0] q_b;
2812
wire NC0 ;
2813
wire NC1 ;
2814
wire NC2 ;
2815
wire NC3 ;
2816
wire NC4 ;
2817
wire NC5 ;
2818
wire NC6 ;
2819
wire NC7 ;
2820
wire NC8 ;
2821
wire NC9 ;
2822
wire NC10 ;
2823
wire NC11 ;
2824
wire NC12 ;
2825
wire NC13 ;
2826
wire NC14 ;
2827
wire NC15 ;
2828
wire NC16 ;
2829
wire NC17 ;
2830
wire NC18 ;
2831
wire NC19 ;
2832
wire NC20 ;
2833
wire NC21 ;
2834
wire GND ;
2835
wire VCC ;
2836
  assign VCC = 1'b1;
2837
  assign GND = 1'b0;
2838
// @18:54
2839
  altsyncram_Z2 altsyncram_component_Z (
2840
        .address_a({sclrsclrw_pc_nxt_0_0_a2_x_6, sclrsclrw_pc_nxt_0_0_a2_x_5,
2841
   sclrsclrw_pc_nxt_0_0_a2_x_4, sclrsclrw_pc_nxt_0_0_a2_x_3, sclrsclrw_pc_nxt_0_0_a2_x_2,
2842
   sclrsclrw_pc_nxt_0_0_a2_x_1, sclrsclrw_pc_nxt_0_0_a2_x_0}),
2843
        .clock0(clk_c),
2844
        .q_a({w_ins_7, w_ins_6, w_mem_wr, w_ins_4, w_ins_3, w_ins_2, w_ins_1,
2845
   w_ins_0}),
2846
        .q_b(q_b[0])
2847
);
2848
endmodule /* rom128x12 */
2849
 
2850
// VQM4.1+
2851
module pram (
2852
  sclrsclrw_pc_nxt_0_0_a2_x_0,
2853
  sclrsclrw_pc_nxt_0_0_a2_x_1,
2854
  sclrsclrw_pc_nxt_0_0_a2_x_2,
2855
  sclrsclrw_pc_nxt_0_0_a2_x_3,
2856
  sclrsclrw_pc_nxt_0_0_a2_x_4,
2857
  sclrsclrw_pc_nxt_0_0_a2_x_5,
2858
  sclrsclrw_pc_nxt_0_0_a2_x_6,
2859
  w_ins_0,
2860
  w_ins_1,
2861
  w_ins_2,
2862
  w_ins_3,
2863
  w_ins_4,
2864
  w_ins_6,
2865
  w_ins_7,
2866
  clk_c,
2867
  w_mem_wr
2868
);
2869
input sclrsclrw_pc_nxt_0_0_a2_x_0 ;
2870
input sclrsclrw_pc_nxt_0_0_a2_x_1 ;
2871
input sclrsclrw_pc_nxt_0_0_a2_x_2 ;
2872
input sclrsclrw_pc_nxt_0_0_a2_x_3 ;
2873
input sclrsclrw_pc_nxt_0_0_a2_x_4 ;
2874
input sclrsclrw_pc_nxt_0_0_a2_x_5 ;
2875
input sclrsclrw_pc_nxt_0_0_a2_x_6 ;
2876
output w_ins_0 ;
2877
output w_ins_1 ;
2878
output w_ins_2 ;
2879
output w_ins_3 ;
2880
output w_ins_4 ;
2881
output w_ins_6 ;
2882
output w_ins_7 ;
2883
input clk_c ;
2884
output w_mem_wr ;
2885
wire sclrsclrw_pc_nxt_0_0_a2_x_0 ;
2886
wire sclrsclrw_pc_nxt_0_0_a2_x_1 ;
2887
wire sclrsclrw_pc_nxt_0_0_a2_x_2 ;
2888
wire sclrsclrw_pc_nxt_0_0_a2_x_3 ;
2889
wire sclrsclrw_pc_nxt_0_0_a2_x_4 ;
2890
wire sclrsclrw_pc_nxt_0_0_a2_x_5 ;
2891
wire sclrsclrw_pc_nxt_0_0_a2_x_6 ;
2892
wire w_ins_0 ;
2893
wire w_ins_1 ;
2894
wire w_ins_2 ;
2895
wire w_ins_3 ;
2896
wire w_ins_4 ;
2897
wire w_ins_6 ;
2898
wire w_ins_7 ;
2899
wire clk_c ;
2900
wire w_mem_wr ;
2901
wire GND ;
2902
wire VCC ;
2903
  assign VCC = 1'b1;
2904
  assign GND = 1'b0;
2905
// @9:23
2906
  rom128x12 i_alt_ram (
2907
        .w_ins_7(w_ins_7),
2908
        .w_ins_6(w_ins_6),
2909
        .w_ins_4(w_ins_4),
2910
        .w_ins_3(w_ins_3),
2911
        .w_ins_2(w_ins_2),
2912
        .w_ins_1(w_ins_1),
2913
        .w_ins_0(w_ins_0),
2914
        .sclrsclrw_pc_nxt_0_0_a2_x_6(sclrsclrw_pc_nxt_0_0_a2_x_6),
2915
        .sclrsclrw_pc_nxt_0_0_a2_x_5(sclrsclrw_pc_nxt_0_0_a2_x_5),
2916
        .sclrsclrw_pc_nxt_0_0_a2_x_4(sclrsclrw_pc_nxt_0_0_a2_x_4),
2917
        .sclrsclrw_pc_nxt_0_0_a2_x_3(sclrsclrw_pc_nxt_0_0_a2_x_3),
2918
        .sclrsclrw_pc_nxt_0_0_a2_x_2(sclrsclrw_pc_nxt_0_0_a2_x_2),
2919
        .sclrsclrw_pc_nxt_0_0_a2_x_1(sclrsclrw_pc_nxt_0_0_a2_x_1),
2920
        .sclrsclrw_pc_nxt_0_0_a2_x_0(sclrsclrw_pc_nxt_0_0_a2_x_0),
2921
        .w_mem_wr(w_mem_wr),
2922
        .clk_c(clk_c)
2923
);
2924
endmodule /* pram */
2925
 
2926
// VQM4.1+
2927
module ClaiRISC_core (
2928
  clk,
2929
  rst,
2930
  in0,
2931
  in1,
2932
  out0,
2933
  out1
2934
);
2935
input clk ;
2936
input rst ;
2937
input [7:0] in0 ;
2938
input [7:0] in1 ;
2939
output [7:0] out0 /* synthesis syn_tristate = 1 */;
2940
output [7:0] out1 /* synthesis syn_tristate = 1 */;
2941
wire clk ;
2942
wire rst ;
2943
wire [8:1] un87_w_alu_res;
2944
wire [6:0] un87_w_alu_res_cout;
2945
wire [6:0] sclrsclrw_pc_nxt_0_0_a2_x;
2946
wire [6:0] w_pc;
2947
wire [6:1] un4_w_pc_nxt;
2948
wire [4:0] w_ek_r;
2949
wire [7:0] w_ins;
2950
wire [7:0] w_wreg;
2951
wire [7:5] w_alu_res_1_6_1;
2952
wire [7:5] w_alu_res_1_6_a;
2953
wire [4:4] w_alu_res_1_3_1;
2954
wire [4:4] w_alu_res_1_3_a;
2955
wire [3:3] w_alu_res_1_1_1;
2956
wire [3:3] w_alu_res_1_1_a;
2957
wire [2:0] w_alu_res_1_0_a2_0;
2958
wire [2:2] w_alu_res_1_0_0;
2959
wire [1:0] w_alu_res_1_0_a2_2_0;
2960
wire [7:0] mem_man_dout;
2961
wire [2:0] w_alu_res_1_0_a2_1;
2962
wire [2:0] w_alu_res_1_0;
2963
wire [4:0] w_alu_op_r;
2964
wire [2:2] w_alu_op_0_0_o2_0_a2_0;
2965
wire [7:5] w_alu_res_1_6;
2966
wire [4:4] w_alu_res_1_3;
2967
wire [3:3] w_alu_res_1_1;
2968
wire [1:0] w_alu_res_1_0_a2_0_a;
2969
wire [2:0] w_alu_res_1_11_i_1;
2970
wire [2:1] w_alu_res_1_11_i_0;
2971
wire [1:1] w_alu_res_1_11_i_a2_0;
2972
wire [0:0] w_alu_res_1_0_a3_2;
2973
wire [7:3] w_alu_res_1_5;
2974
wire [7:2] w_alu_res_1_4;
2975
wire [0:0] w_alu_res_1_0_a3_1;
2976
wire [2:0] w_alu_res_1_11_i_1_a;
2977
wire [7:1] un74_w_alu_res;
2978
wire [0:0] w_alu_res_1_11_i_x3;
2979
wire [7:5] w_alu_res_1_6_1_a;
2980
wire [3:3] w_alu_res_1_1_a3_1;
2981
wire [3:3] w_alu_res_1_1_1_a;
2982
wire [4:4] w_alu_res_1_3_1_a;
2983
wire [2:1] w_alu_res_1_11_i_0_a;
2984
wire [2:0] w_alu_res_1_0_a2_1_a;
2985
wire [0:0] w_alu_res_1_0_a3_1_a;
2986
wire [0:0] w_alu_res_1_0_a3_2_a;
2987
wire [2:2] w_alu_op_0_0_o2_0_a2_0_a;
2988
wire [4:0] un4_w_pc_nxt_cout;
2989
wire [5:0] un74_w_alu_res_cout;
2990
wire [7:0] in1_c;
2991
wire [7:0] in0_c;
2992
wire [7:0] mem_man_out1;
2993
wire [7:0] mem_man_out0;
2994
wire VCC ;
2995
wire GND ;
2996
wire rst_i_i ;
2997
wire rst_c ;
2998
wire un11_w_alu_res_carry_7 ;
2999
wire un11_w_alu_res_add7_cout ;
3000
wire clk_c ;
3001
wire w_c_2mem_i_a3 ;
3002
wire un11_w_alu_res_add7 ;
3003
wire w_w_wr_r ;
3004
wire un11_w_alu_res_add6 ;
3005
wire un11_w_alu_res_add5 ;
3006
wire un11_w_alu_res_add4 ;
3007
wire un11_w_alu_res_add3 ;
3008
wire w_mem_wr ;
3009
wire w_z_wr_r ;
3010
wire w_mem_wr_r ;
3011
wire w_c_wr_r ;
3012
wire un11_w_alu_res_add0 ;
3013
wire un11_w_alu_res_add2 ;
3014
wire un11_w_alu_res_add1 ;
3015
wire w_alu_res_1_sn_m7_0_a2 ;
3016
wire w_alu_res142_0_3_0_a2 ;
3017
wire w_alu_res_add2 ;
3018
wire G_271 ;
3019
wire mem_man_write_out0_0_a3_0_o2 ;
3020
wire w_alu_res_add0 ;
3021
wire G_279 ;
3022
wire w_z_0_a2 ;
3023
wire w_z_0_a2_a ;
3024
wire w_z_0_a2_1 ;
3025
wire G_287 ;
3026
wire G_287_a ;
3027
wire w_c_2mem_i_a2_0_0 ;
3028
wire w_alu_res_add1 ;
3029
wire w_alu_res_add3 ;
3030
wire w_alu_res_add6 ;
3031
wire w_alu_res_add7 ;
3032
wire N_796 ;
3033
wire w_alu_res_add5 ;
3034
wire w_alu_res_add4 ;
3035
wire un11_w_alu_res_carry_6 ;
3036
wire un11_w_alu_res_carry_5 ;
3037
wire un11_w_alu_res_carry_4 ;
3038
wire un11_w_alu_res_carry_3 ;
3039
wire un11_w_alu_res_carry_2 ;
3040
wire un11_w_alu_res_carry_1 ;
3041
wire un11_w_alu_res_carry_0 ;
3042
wire w_alu_res_carry_6 ;
3043
wire w_alu_res_carry_5 ;
3044
wire w_alu_res_carry_4 ;
3045
wire w_alu_res_carry_3 ;
3046
wire w_alu_res_carry_2 ;
3047
wire w_alu_res_carry_1 ;
3048
wire w_alu_res_carry_0 ;
3049
wire N_1002 ;
3050
wire N_996 ;
3051
wire N_995 ;
3052
wire N_994 ;
3053
wire N_586 ;
3054
wire N_585 ;
3055
wire N_584 ;
3056
wire N_583 ;
3057
wire N_375 ;
3058
wire N_374 ;
3059
wire N_373 ;
3060
wire N_372 ;
3061
wire N_371 ;
3062
wire N_370 ;
3063
wire N_369 ;
3064
wire N_368 ;
3065
wire N_365 ;
3066
wire N_364 ;
3067
wire N_363 ;
3068
wire N_1 ;
3069
wire N_2 ;
3070
wire N_3 ;
3071
//@1:1
3072
  assign VCC = 1'b1;
3073
//@1:1
3074
  assign GND = 1'b0;
3075
  assign  rst_i_i = ~ rst_c;
3076
  cyclone_lcell un11_w_alu_res_add7_term (
3077
        .combout(un11_w_alu_res_carry_7),
3078
        .dataa(VCC),
3079
        .datab(VCC),
3080
        .datac(VCC),
3081
        .datad(VCC),
3082
        .aclr(GND),
3083
        .sclr(GND),
3084
        .sload(GND),
3085
        .ena(VCC),
3086
        .cin(un11_w_alu_res_add7_cout),
3087
        .inverta(GND),
3088
        .aload(GND),
3089
        .regcascin(GND)
3090
);
3091
defparam un11_w_alu_res_add7_term.cin_used="true";
3092
defparam un11_w_alu_res_add7_term.operation_mode="normal";
3093
defparam un11_w_alu_res_add7_term.output_mode="comb_only";
3094
defparam un11_w_alu_res_add7_term.lut_mask="f0f0";
3095
defparam un11_w_alu_res_add7_term.synch_mode="off";
3096
defparam un11_w_alu_res_add7_term.sum_lutc_input="cin";
3097
  cyclone_lcell un87_w_alu_res_term_6_ (
3098
        .combout(un87_w_alu_res[8]),
3099
        .dataa(VCC),
3100
        .datab(VCC),
3101
        .datac(VCC),
3102
        .datad(VCC),
3103
        .aclr(GND),
3104
        .sclr(GND),
3105
        .sload(GND),
3106
        .ena(VCC),
3107
        .cin(un87_w_alu_res_cout[6]),
3108
        .inverta(GND),
3109
        .aload(GND),
3110
        .regcascin(GND)
3111
);
3112
defparam un87_w_alu_res_term_6_.cin_used="true";
3113
defparam un87_w_alu_res_term_6_.operation_mode="normal";
3114
defparam un87_w_alu_res_term_6_.output_mode="comb_only";
3115
defparam un87_w_alu_res_term_6_.lut_mask="f0f0";
3116
defparam un87_w_alu_res_term_6_.synch_mode="off";
3117
defparam un87_w_alu_res_term_6_.sum_lutc_input="cin";
3118
// @11:52
3119
  cyclone_lcell w_pc_6__Z (
3120
        .combout(sclrsclrw_pc_nxt_0_0_a2_x[6]),
3121
        .regout(w_pc[6]),
3122
        .clk(clk_c),
3123
        .dataa(rst_c),
3124
        .datab(un4_w_pc_nxt[6]),
3125
        .datac(VCC),
3126
        .datad(VCC),
3127
        .aclr(GND),
3128
        .sclr(GND),
3129
        .sload(GND),
3130
        .ena(VCC),
3131
        .inverta(GND),
3132
        .aload(GND),
3133
        .regcascin(GND)
3134
);
3135
defparam w_pc_6__Z.operation_mode="normal";
3136
defparam w_pc_6__Z.output_mode="reg_and_comb";
3137
defparam w_pc_6__Z.lut_mask="4444";
3138
defparam w_pc_6__Z.synch_mode="off";
3139
defparam w_pc_6__Z.sum_lutc_input="datac";
3140
// @11:52
3141
  cyclone_lcell w_pc_5__Z (
3142
        .combout(sclrsclrw_pc_nxt_0_0_a2_x[5]),
3143
        .regout(w_pc[5]),
3144
        .clk(clk_c),
3145
        .dataa(rst_c),
3146
        .datab(un4_w_pc_nxt[5]),
3147
        .datac(VCC),
3148
        .datad(VCC),
3149
        .aclr(GND),
3150
        .sclr(GND),
3151
        .sload(GND),
3152
        .ena(VCC),
3153
        .inverta(GND),
3154
        .aload(GND),
3155
        .regcascin(GND)
3156
);
3157
defparam w_pc_5__Z.operation_mode="normal";
3158
defparam w_pc_5__Z.output_mode="reg_and_comb";
3159
defparam w_pc_5__Z.lut_mask="4444";
3160
defparam w_pc_5__Z.synch_mode="off";
3161
defparam w_pc_5__Z.sum_lutc_input="datac";
3162
// @11:52
3163
  cyclone_lcell w_pc_4__Z (
3164
        .combout(sclrsclrw_pc_nxt_0_0_a2_x[4]),
3165
        .regout(w_pc[4]),
3166
        .clk(clk_c),
3167
        .dataa(rst_c),
3168
        .datab(un4_w_pc_nxt[4]),
3169
        .datac(VCC),
3170
        .datad(VCC),
3171
        .aclr(GND),
3172
        .sclr(GND),
3173
        .sload(GND),
3174
        .ena(VCC),
3175
        .inverta(GND),
3176
        .aload(GND),
3177
        .regcascin(GND)
3178
);
3179
defparam w_pc_4__Z.operation_mode="normal";
3180
defparam w_pc_4__Z.output_mode="reg_and_comb";
3181
defparam w_pc_4__Z.lut_mask="4444";
3182
defparam w_pc_4__Z.synch_mode="off";
3183
defparam w_pc_4__Z.sum_lutc_input="datac";
3184
// @11:52
3185
  cyclone_lcell w_pc_3__Z (
3186
        .combout(sclrsclrw_pc_nxt_0_0_a2_x[3]),
3187
        .regout(w_pc[3]),
3188
        .clk(clk_c),
3189
        .dataa(rst_c),
3190
        .datab(un4_w_pc_nxt[3]),
3191
        .datac(VCC),
3192
        .datad(VCC),
3193
        .aclr(GND),
3194
        .sclr(GND),
3195
        .sload(GND),
3196
        .ena(VCC),
3197
        .inverta(GND),
3198
        .aload(GND),
3199
        .regcascin(GND)
3200
);
3201
defparam w_pc_3__Z.operation_mode="normal";
3202
defparam w_pc_3__Z.output_mode="reg_and_comb";
3203
defparam w_pc_3__Z.lut_mask="4444";
3204
defparam w_pc_3__Z.synch_mode="off";
3205
defparam w_pc_3__Z.sum_lutc_input="datac";
3206
// @11:52
3207
  cyclone_lcell w_pc_2__Z (
3208
        .combout(sclrsclrw_pc_nxt_0_0_a2_x[2]),
3209
        .regout(w_pc[2]),
3210
        .clk(clk_c),
3211
        .dataa(rst_c),
3212
        .datab(un4_w_pc_nxt[2]),
3213
        .datac(VCC),
3214
        .datad(VCC),
3215
        .aclr(GND),
3216
        .sclr(GND),
3217
        .sload(GND),
3218
        .ena(VCC),
3219
        .inverta(GND),
3220
        .aload(GND),
3221
        .regcascin(GND)
3222
);
3223
defparam w_pc_2__Z.operation_mode="normal";
3224
defparam w_pc_2__Z.output_mode="reg_and_comb";
3225
defparam w_pc_2__Z.lut_mask="4444";
3226
defparam w_pc_2__Z.synch_mode="off";
3227
defparam w_pc_2__Z.sum_lutc_input="datac";
3228
// @11:52
3229
  cyclone_lcell w_pc_1__Z (
3230
        .combout(sclrsclrw_pc_nxt_0_0_a2_x[1]),
3231
        .regout(w_pc[1]),
3232
        .clk(clk_c),
3233
        .dataa(rst_c),
3234
        .datab(un4_w_pc_nxt[1]),
3235
        .datac(VCC),
3236
        .datad(VCC),
3237
        .aclr(GND),
3238
        .sclr(GND),
3239
        .sload(GND),
3240
        .ena(VCC),
3241
        .inverta(GND),
3242
        .aload(GND),
3243
        .regcascin(GND)
3244
);
3245
defparam w_pc_1__Z.operation_mode="normal";
3246
defparam w_pc_1__Z.output_mode="reg_and_comb";
3247
defparam w_pc_1__Z.lut_mask="4444";
3248
defparam w_pc_1__Z.synch_mode="off";
3249
defparam w_pc_1__Z.sum_lutc_input="datac";
3250
// @11:52
3251
  cyclone_lcell w_pc_0__Z (
3252
        .combout(sclrsclrw_pc_nxt_0_0_a2_x[0]),
3253
        .regout(w_pc[0]),
3254
        .clk(clk_c),
3255
        .dataa(rst_c),
3256
        .datab(w_pc[0]),
3257
        .datac(VCC),
3258
        .datad(VCC),
3259
        .aclr(GND),
3260
        .sclr(GND),
3261
        .sload(GND),
3262
        .ena(VCC),
3263
        .inverta(GND),
3264
        .aload(GND),
3265
        .regcascin(GND)
3266
);
3267
defparam w_pc_0__Z.operation_mode="normal";
3268
defparam w_pc_0__Z.output_mode="reg_and_comb";
3269
defparam w_pc_0__Z.lut_mask="1111";
3270
defparam w_pc_0__Z.synch_mode="off";
3271
defparam w_pc_0__Z.sum_lutc_input="datac";
3272
// @11:138
3273
  cyclone_lcell w_ek_r_4__Z (
3274
        .regout(w_ek_r[4]),
3275
        .clk(clk_c),
3276
        .dataa(VCC),
3277
        .datab(VCC),
3278
        .datac(VCC),
3279
        .datad(w_ins[4]),
3280
        .aclr(GND),
3281
        .sclr(GND),
3282
        .sload(GND),
3283
        .ena(VCC),
3284
        .inverta(GND),
3285
        .aload(GND),
3286
        .regcascin(GND)
3287
);
3288
defparam w_ek_r_4__Z.operation_mode="normal";
3289
defparam w_ek_r_4__Z.output_mode="reg_only";
3290
defparam w_ek_r_4__Z.lut_mask="ff00";
3291
defparam w_ek_r_4__Z.synch_mode="off";
3292
defparam w_ek_r_4__Z.sum_lutc_input="datac";
3293
// @11:138
3294
  cyclone_lcell w_ek_r_3__Z (
3295
        .regout(w_ek_r[3]),
3296
        .clk(clk_c),
3297
        .dataa(VCC),
3298
        .datab(VCC),
3299
        .datac(VCC),
3300
        .datad(w_ins[3]),
3301
        .aclr(GND),
3302
        .sclr(GND),
3303
        .sload(GND),
3304
        .ena(VCC),
3305
        .inverta(GND),
3306
        .aload(GND),
3307
        .regcascin(GND)
3308
);
3309
defparam w_ek_r_3__Z.operation_mode="normal";
3310
defparam w_ek_r_3__Z.output_mode="reg_only";
3311
defparam w_ek_r_3__Z.lut_mask="ff00";
3312
defparam w_ek_r_3__Z.synch_mode="off";
3313
defparam w_ek_r_3__Z.sum_lutc_input="datac";
3314
// @11:138
3315
  cyclone_lcell w_ek_r_2__Z (
3316
        .regout(w_ek_r[2]),
3317
        .clk(clk_c),
3318
        .dataa(VCC),
3319
        .datab(VCC),
3320
        .datac(VCC),
3321
        .datad(w_ins[2]),
3322
        .aclr(GND),
3323
        .sclr(GND),
3324
        .sload(GND),
3325
        .ena(VCC),
3326
        .inverta(GND),
3327
        .aload(GND),
3328
        .regcascin(GND)
3329
);
3330
defparam w_ek_r_2__Z.operation_mode="normal";
3331
defparam w_ek_r_2__Z.output_mode="reg_only";
3332
defparam w_ek_r_2__Z.lut_mask="ff00";
3333
defparam w_ek_r_2__Z.synch_mode="off";
3334
defparam w_ek_r_2__Z.sum_lutc_input="datac";
3335
// @11:138
3336
  cyclone_lcell w_ek_r_1__Z (
3337
        .regout(w_ek_r[1]),
3338
        .clk(clk_c),
3339
        .dataa(VCC),
3340
        .datab(VCC),
3341
        .datac(VCC),
3342
        .datad(w_ins[1]),
3343
        .aclr(GND),
3344
        .sclr(GND),
3345
        .sload(GND),
3346
        .ena(VCC),
3347
        .inverta(GND),
3348
        .aload(GND),
3349
        .regcascin(GND)
3350
);
3351
defparam w_ek_r_1__Z.operation_mode="normal";
3352
defparam w_ek_r_1__Z.output_mode="reg_only";
3353
defparam w_ek_r_1__Z.lut_mask="ff00";
3354
defparam w_ek_r_1__Z.synch_mode="off";
3355
defparam w_ek_r_1__Z.sum_lutc_input="datac";
3356
// @11:138
3357
  cyclone_lcell w_ek_r_0__Z (
3358
        .regout(w_ek_r[0]),
3359
        .clk(clk_c),
3360
        .dataa(VCC),
3361
        .datab(VCC),
3362
        .datac(VCC),
3363
        .datad(w_ins[0]),
3364
        .aclr(GND),
3365
        .sclr(GND),
3366
        .sload(GND),
3367
        .ena(VCC),
3368
        .inverta(GND),
3369
        .aload(GND),
3370
        .regcascin(GND)
3371
);
3372
defparam w_ek_r_0__Z.operation_mode="normal";
3373
defparam w_ek_r_0__Z.output_mode="reg_only";
3374
defparam w_ek_r_0__Z.lut_mask="ff00";
3375
defparam w_ek_r_0__Z.synch_mode="off";
3376
defparam w_ek_r_0__Z.sum_lutc_input="datac";
3377
// @11:128
3378
  cyclone_lcell w_wreg_7__Z (
3379
        .regout(w_wreg[7]),
3380
        .clk(clk_c),
3381
        .dataa(w_c_2mem_i_a3),
3382
        .datab(un11_w_alu_res_add7),
3383
        .datac(w_alu_res_1_6_1[7]),
3384
        .datad(w_alu_res_1_6_a[7]),
3385
        .aclr(GND),
3386
        .sclr(GND),
3387
        .sload(GND),
3388
        .ena(w_w_wr_r),
3389
        .inverta(GND),
3390
        .aload(GND),
3391
        .regcascin(GND)
3392
);
3393
defparam w_wreg_7__Z.operation_mode="normal";
3394
defparam w_wreg_7__Z.output_mode="reg_only";
3395
defparam w_wreg_7__Z.lut_mask="f8ff";
3396
defparam w_wreg_7__Z.synch_mode="off";
3397
defparam w_wreg_7__Z.sum_lutc_input="datac";
3398
// @11:128
3399
  cyclone_lcell w_wreg_6__Z (
3400
        .regout(w_wreg[6]),
3401
        .clk(clk_c),
3402
        .dataa(w_c_2mem_i_a3),
3403
        .datab(un11_w_alu_res_add6),
3404
        .datac(w_alu_res_1_6_1[6]),
3405
        .datad(w_alu_res_1_6_a[6]),
3406
        .aclr(GND),
3407
        .sclr(GND),
3408
        .sload(GND),
3409
        .ena(w_w_wr_r),
3410
        .inverta(GND),
3411
        .aload(GND),
3412
        .regcascin(GND)
3413
);
3414
defparam w_wreg_6__Z.operation_mode="normal";
3415
defparam w_wreg_6__Z.output_mode="reg_only";
3416
defparam w_wreg_6__Z.lut_mask="f8ff";
3417
defparam w_wreg_6__Z.synch_mode="off";
3418
defparam w_wreg_6__Z.sum_lutc_input="datac";
3419
// @11:128
3420
  cyclone_lcell w_wreg_5__Z (
3421
        .regout(w_wreg[5]),
3422
        .clk(clk_c),
3423
        .dataa(w_c_2mem_i_a3),
3424
        .datab(un11_w_alu_res_add5),
3425
        .datac(w_alu_res_1_6_1[5]),
3426
        .datad(w_alu_res_1_6_a[5]),
3427
        .aclr(GND),
3428
        .sclr(GND),
3429
        .sload(GND),
3430
        .ena(w_w_wr_r),
3431
        .inverta(GND),
3432
        .aload(GND),
3433
        .regcascin(GND)
3434
);
3435
defparam w_wreg_5__Z.operation_mode="normal";
3436
defparam w_wreg_5__Z.output_mode="reg_only";
3437
defparam w_wreg_5__Z.lut_mask="f8ff";
3438
defparam w_wreg_5__Z.synch_mode="off";
3439
defparam w_wreg_5__Z.sum_lutc_input="datac";
3440
// @11:128
3441
  cyclone_lcell w_wreg_4__Z (
3442
        .regout(w_wreg[4]),
3443
        .clk(clk_c),
3444
        .dataa(w_c_2mem_i_a3),
3445
        .datab(un11_w_alu_res_add4),
3446
        .datac(w_alu_res_1_3_1[4]),
3447
        .datad(w_alu_res_1_3_a[4]),
3448
        .aclr(GND),
3449
        .sclr(GND),
3450
        .sload(GND),
3451
        .ena(w_w_wr_r),
3452
        .inverta(GND),
3453
        .aload(GND),
3454
        .regcascin(GND)
3455
);
3456
defparam w_wreg_4__Z.operation_mode="normal";
3457
defparam w_wreg_4__Z.output_mode="reg_only";
3458
defparam w_wreg_4__Z.lut_mask="f8ff";
3459
defparam w_wreg_4__Z.synch_mode="off";
3460
defparam w_wreg_4__Z.sum_lutc_input="datac";
3461
// @11:128
3462
  cyclone_lcell w_wreg_3__Z (
3463
        .regout(w_wreg[3]),
3464
        .clk(clk_c),
3465
        .dataa(w_c_2mem_i_a3),
3466
        .datab(un11_w_alu_res_add3),
3467
        .datac(w_alu_res_1_1_1[3]),
3468
        .datad(w_alu_res_1_1_a[3]),
3469
        .aclr(GND),
3470
        .sclr(GND),
3471
        .sload(GND),
3472
        .ena(w_w_wr_r),
3473
        .inverta(GND),
3474
        .aload(GND),
3475
        .regcascin(GND)
3476
);
3477
defparam w_wreg_3__Z.operation_mode="normal";
3478
defparam w_wreg_3__Z.output_mode="reg_only";
3479
defparam w_wreg_3__Z.lut_mask="f8ff";
3480
defparam w_wreg_3__Z.synch_mode="off";
3481
defparam w_wreg_3__Z.sum_lutc_input="datac";
3482
// @11:128
3483
  cyclone_lcell w_wreg_2__Z (
3484
        .regout(w_wreg[2]),
3485
        .clk(clk_c),
3486
        .dataa(VCC),
3487
        .datab(VCC),
3488
        .datac(w_alu_res_1_0_a2_0[2]),
3489
        .datad(w_alu_res_1_0_0[2]),
3490
        .aclr(GND),
3491
        .sclr(GND),
3492
        .sload(GND),
3493
        .ena(w_w_wr_r),
3494
        .inverta(GND),
3495
        .aload(GND),
3496
        .regcascin(GND)
3497
);
3498
defparam w_wreg_2__Z.operation_mode="normal";
3499
defparam w_wreg_2__Z.output_mode="reg_only";
3500
defparam w_wreg_2__Z.lut_mask="fff0";
3501
defparam w_wreg_2__Z.synch_mode="off";
3502
defparam w_wreg_2__Z.sum_lutc_input="datac";
3503
// @11:128
3504
  cyclone_lcell w_wreg_1__Z (
3505
        .regout(w_wreg[1]),
3506
        .clk(clk_c),
3507
        .dataa(w_alu_res_1_0_a2_2_0[1]),
3508
        .datab(mem_man_dout[1]),
3509
        .datac(w_alu_res_1_0_a2_1[1]),
3510
        .datad(w_alu_res_1_0_a2_0[1]),
3511
        .aclr(GND),
3512
        .sclr(GND),
3513
        .sload(GND),
3514
        .ena(w_w_wr_r),
3515
        .inverta(GND),
3516
        .aload(GND),
3517
        .regcascin(GND)
3518
);
3519
defparam w_wreg_1__Z.operation_mode="normal";
3520
defparam w_wreg_1__Z.output_mode="reg_only";
3521
defparam w_wreg_1__Z.lut_mask="fff8";
3522
defparam w_wreg_1__Z.synch_mode="off";
3523
defparam w_wreg_1__Z.sum_lutc_input="datac";
3524
// @11:128
3525
  cyclone_lcell w_wreg_0__Z (
3526
        .regout(w_wreg[0]),
3527
        .clk(clk_c),
3528
        .dataa(VCC),
3529
        .datab(VCC),
3530
        .datac(VCC),
3531
        .datad(w_alu_res_1_0[0]),
3532
        .aclr(GND),
3533
        .sclr(GND),
3534
        .sload(GND),
3535
        .ena(w_w_wr_r),
3536
        .inverta(GND),
3537
        .aload(GND),
3538
        .regcascin(GND)
3539
);
3540
defparam w_wreg_0__Z.operation_mode="normal";
3541
defparam w_wreg_0__Z.output_mode="reg_only";
3542
defparam w_wreg_0__Z.lut_mask="ff00";
3543
defparam w_wreg_0__Z.synch_mode="off";
3544
defparam w_wreg_0__Z.sum_lutc_input="datac";
3545
// @11:102
3546
  cyclone_lcell w_alu_op_r_4__Z (
3547
        .regout(w_alu_op_r[4]),
3548
        .clk(clk_c),
3549
        .dataa(VCC),
3550
        .datab(w_mem_wr),
3551
        .datac(w_ins[7]),
3552
        .datad(w_ins[6]),
3553
        .aclr(GND),
3554
        .sclr(GND),
3555
        .sload(GND),
3556
        .ena(VCC),
3557
        .inverta(GND),
3558
        .aload(GND),
3559
        .regcascin(GND)
3560
);
3561
defparam w_alu_op_r_4__Z.operation_mode="normal";
3562
defparam w_alu_op_r_4__Z.output_mode="reg_only";
3563
defparam w_alu_op_r_4__Z.lut_mask="000c";
3564
defparam w_alu_op_r_4__Z.synch_mode="off";
3565
defparam w_alu_op_r_4__Z.sum_lutc_input="datac";
3566
// @11:102
3567
  cyclone_lcell w_alu_op_r_3__Z (
3568
        .regout(w_alu_op_r[3]),
3569
        .clk(clk_c),
3570
        .dataa(w_mem_wr),
3571
        .datab(w_ins[7]),
3572
        .datac(w_ins[6]),
3573
        .datad(w_alu_op_0_0_o2_0_a2_0[2]),
3574
        .aclr(GND),
3575
        .sclr(GND),
3576
        .sload(GND),
3577
        .ena(VCC),
3578
        .inverta(GND),
3579
        .aload(GND),
3580
        .regcascin(GND)
3581
);
3582
defparam w_alu_op_r_3__Z.operation_mode="normal";
3583
defparam w_alu_op_r_3__Z.output_mode="reg_only";
3584
defparam w_alu_op_r_3__Z.lut_mask="ffe0";
3585
defparam w_alu_op_r_3__Z.synch_mode="off";
3586
defparam w_alu_op_r_3__Z.sum_lutc_input="datac";
3587
// @11:102
3588
  cyclone_lcell w_alu_op_r_0__Z (
3589
        .regout(w_alu_op_r[0]),
3590
        .clk(clk_c),
3591
        .dataa(VCC),
3592
        .datab(VCC),
3593
        .datac(w_ins[7]),
3594
        .datad(w_ins[6]),
3595
        .aclr(GND),
3596
        .sclr(GND),
3597
        .sload(GND),
3598
        .ena(VCC),
3599
        .inverta(GND),
3600
        .aload(GND),
3601
        .regcascin(GND)
3602
);
3603
defparam w_alu_op_r_0__Z.operation_mode="normal";
3604
defparam w_alu_op_r_0__Z.output_mode="reg_only";
3605
defparam w_alu_op_r_0__Z.lut_mask="f000";
3606
defparam w_alu_op_r_0__Z.synch_mode="off";
3607
defparam w_alu_op_r_0__Z.sum_lutc_input="datac";
3608
// @11:112
3609
  cyclone_lcell w_z_wr_r_Z (
3610
        .regout(w_z_wr_r),
3611
        .clk(clk_c),
3612
        .dataa(w_mem_wr),
3613
        .datab(w_ins[7]),
3614
        .datac(w_ins[6]),
3615
        .datad(w_alu_op_0_0_o2_0_a2_0[2]),
3616
        .aclr(GND),
3617
        .sclr(GND),
3618
        .sload(GND),
3619
        .ena(VCC),
3620
        .inverta(GND),
3621
        .aload(GND),
3622
        .regcascin(GND)
3623
);
3624
defparam w_z_wr_r_Z.operation_mode="normal";
3625
defparam w_z_wr_r_Z.output_mode="reg_only";
3626
defparam w_z_wr_r_Z.lut_mask="ffec";
3627
defparam w_z_wr_r_Z.synch_mode="off";
3628
defparam w_z_wr_r_Z.sum_lutc_input="datac";
3629
// @11:122
3630
  cyclone_lcell w_mem_wr_r_Z (
3631
        .regout(w_mem_wr_r),
3632
        .clk(clk_c),
3633
        .dataa(VCC),
3634
        .datab(VCC),
3635
        .datac(VCC),
3636
        .datad(w_mem_wr),
3637
        .aclr(GND),
3638
        .sclr(GND),
3639
        .sload(GND),
3640
        .ena(VCC),
3641
        .inverta(GND),
3642
        .aload(GND),
3643
        .regcascin(GND)
3644
);
3645
defparam w_mem_wr_r_Z.operation_mode="normal";
3646
defparam w_mem_wr_r_Z.output_mode="reg_only";
3647
defparam w_mem_wr_r_Z.lut_mask="ff00";
3648
defparam w_mem_wr_r_Z.synch_mode="off";
3649
defparam w_mem_wr_r_Z.sum_lutc_input="datac";
3650
// @11:116
3651
  cyclone_lcell w_c_wr_r_Z (
3652
        .regout(w_c_wr_r),
3653
        .clk(clk_c),
3654
        .dataa(VCC),
3655
        .datab(VCC),
3656
        .datac(w_ins[7]),
3657
        .datad(w_ins[6]),
3658
        .aclr(GND),
3659
        .sclr(GND),
3660
        .sload(GND),
3661
        .ena(VCC),
3662
        .inverta(GND),
3663
        .aload(GND),
3664
        .regcascin(GND)
3665
);
3666
defparam w_c_wr_r_Z.operation_mode="normal";
3667
defparam w_c_wr_r_Z.output_mode="reg_only";
3668
defparam w_c_wr_r_Z.lut_mask="00f0";
3669
defparam w_c_wr_r_Z.synch_mode="off";
3670
defparam w_c_wr_r_Z.sum_lutc_input="datac";
3671
// @11:135
3672
  cyclone_lcell w_w_wr_r_Z (
3673
        .regout(w_w_wr_r),
3674
        .clk(clk_c),
3675
        .dataa(VCC),
3676
        .datab(w_mem_wr),
3677
        .datac(w_ins[7]),
3678
        .datad(w_alu_op_0_0_o2_0_a2_0[2]),
3679
        .aclr(GND),
3680
        .sclr(GND),
3681
        .sload(GND),
3682
        .ena(VCC),
3683
        .inverta(GND),
3684
        .aload(GND),
3685
        .regcascin(GND)
3686
);
3687
defparam w_w_wr_r_Z.operation_mode="normal";
3688
defparam w_w_wr_r_Z.output_mode="reg_only";
3689
defparam w_w_wr_r_Z.lut_mask="3330";
3690
defparam w_w_wr_r_Z.synch_mode="off";
3691
defparam w_w_wr_r_Z.sum_lutc_input="datac";
3692
// @11:151
3693
  cyclone_lcell retw_alu_res_1_6_7_ (
3694
        .combout(w_alu_res_1_6[7]),
3695
        .dataa(w_c_2mem_i_a3),
3696
        .datab(un11_w_alu_res_add7),
3697
        .datac(w_alu_res_1_6_1[7]),
3698
        .datad(w_alu_res_1_6_a[7]),
3699
        .aclr(GND),
3700
        .sclr(GND),
3701
        .sload(GND),
3702
        .ena(VCC),
3703
        .inverta(GND),
3704
        .aload(GND),
3705
        .regcascin(GND)
3706
);
3707
defparam retw_alu_res_1_6_7_.operation_mode="normal";
3708
defparam retw_alu_res_1_6_7_.output_mode="comb_only";
3709
defparam retw_alu_res_1_6_7_.lut_mask="f8ff";
3710
defparam retw_alu_res_1_6_7_.synch_mode="off";
3711
defparam retw_alu_res_1_6_7_.sum_lutc_input="datac";
3712
// @11:151
3713
  cyclone_lcell retw_alu_res_1_6_0_6_ (
3714
        .combout(w_alu_res_1_6[6]),
3715
        .dataa(w_c_2mem_i_a3),
3716
        .datab(un11_w_alu_res_add6),
3717
        .datac(w_alu_res_1_6_1[6]),
3718
        .datad(w_alu_res_1_6_a[6]),
3719
        .aclr(GND),
3720
        .sclr(GND),
3721
        .sload(GND),
3722
        .ena(VCC),
3723
        .inverta(GND),
3724
        .aload(GND),
3725
        .regcascin(GND)
3726
);
3727
defparam retw_alu_res_1_6_0_6_.operation_mode="normal";
3728
defparam retw_alu_res_1_6_0_6_.output_mode="comb_only";
3729
defparam retw_alu_res_1_6_0_6_.lut_mask="f8ff";
3730
defparam retw_alu_res_1_6_0_6_.synch_mode="off";
3731
defparam retw_alu_res_1_6_0_6_.sum_lutc_input="datac";
3732
// @11:151
3733
  cyclone_lcell retw_alu_res_1_6_0_5_ (
3734
        .combout(w_alu_res_1_6[5]),
3735
        .dataa(w_c_2mem_i_a3),
3736
        .datab(un11_w_alu_res_add5),
3737
        .datac(w_alu_res_1_6_1[5]),
3738
        .datad(w_alu_res_1_6_a[5]),
3739
        .aclr(GND),
3740
        .sclr(GND),
3741
        .sload(GND),
3742
        .ena(VCC),
3743
        .inverta(GND),
3744
        .aload(GND),
3745
        .regcascin(GND)
3746
);
3747
defparam retw_alu_res_1_6_0_5_.operation_mode="normal";
3748
defparam retw_alu_res_1_6_0_5_.output_mode="comb_only";
3749
defparam retw_alu_res_1_6_0_5_.lut_mask="f8ff";
3750
defparam retw_alu_res_1_6_0_5_.synch_mode="off";
3751
defparam retw_alu_res_1_6_0_5_.sum_lutc_input="datac";
3752
// @11:151
3753
  cyclone_lcell retw_alu_res_1_3_0_4_ (
3754
        .combout(w_alu_res_1_3[4]),
3755
        .dataa(w_c_2mem_i_a3),
3756
        .datab(un11_w_alu_res_add4),
3757
        .datac(w_alu_res_1_3_1[4]),
3758
        .datad(w_alu_res_1_3_a[4]),
3759
        .aclr(GND),
3760
        .sclr(GND),
3761
        .sload(GND),
3762
        .ena(VCC),
3763
        .inverta(GND),
3764
        .aload(GND),
3765
        .regcascin(GND)
3766
);
3767
defparam retw_alu_res_1_3_0_4_.operation_mode="normal";
3768
defparam retw_alu_res_1_3_0_4_.output_mode="comb_only";
3769
defparam retw_alu_res_1_3_0_4_.lut_mask="f8ff";
3770
defparam retw_alu_res_1_3_0_4_.synch_mode="off";
3771
defparam retw_alu_res_1_3_0_4_.sum_lutc_input="datac";
3772
// @11:151
3773
  cyclone_lcell retw_alu_res_1_1_0_3_ (
3774
        .combout(w_alu_res_1_1[3]),
3775
        .dataa(w_c_2mem_i_a3),
3776
        .datab(un11_w_alu_res_add3),
3777
        .datac(w_alu_res_1_1_1[3]),
3778
        .datad(w_alu_res_1_1_a[3]),
3779
        .aclr(GND),
3780
        .sclr(GND),
3781
        .sload(GND),
3782
        .ena(VCC),
3783
        .inverta(GND),
3784
        .aload(GND),
3785
        .regcascin(GND)
3786
);
3787
defparam retw_alu_res_1_1_0_3_.operation_mode="normal";
3788
defparam retw_alu_res_1_1_0_3_.output_mode="comb_only";
3789
defparam retw_alu_res_1_1_0_3_.lut_mask="f8ff";
3790
defparam retw_alu_res_1_1_0_3_.synch_mode="off";
3791
defparam retw_alu_res_1_1_0_3_.sum_lutc_input="datac";
3792
// @11:151
3793
  cyclone_lcell retw_alu_res_1_0_0_2_ (
3794
        .combout(w_alu_res_1_0[2]),
3795
        .dataa(VCC),
3796
        .datab(VCC),
3797
        .datac(w_alu_res_1_0_a2_0[2]),
3798
        .datad(w_alu_res_1_0_0[2]),
3799
        .aclr(GND),
3800
        .sclr(GND),
3801
        .sload(GND),
3802
        .ena(VCC),
3803
        .inverta(GND),
3804
        .aload(GND),
3805
        .regcascin(GND)
3806
);
3807
defparam retw_alu_res_1_0_0_2_.operation_mode="normal";
3808
defparam retw_alu_res_1_0_0_2_.output_mode="comb_only";
3809
defparam retw_alu_res_1_0_0_2_.lut_mask="fff0";
3810
defparam retw_alu_res_1_0_0_2_.synch_mode="off";
3811
defparam retw_alu_res_1_0_0_2_.sum_lutc_input="datac";
3812
// @11:151
3813
  cyclone_lcell retw_alu_res_1_0_0_1_ (
3814
        .combout(w_alu_res_1_0[1]),
3815
        .dataa(w_alu_res_1_0_a2_2_0[1]),
3816
        .datab(mem_man_dout[1]),
3817
        .datac(w_alu_res_1_0_a2_0[1]),
3818
        .datad(w_alu_res_1_0_a2_1[1]),
3819
        .aclr(GND),
3820
        .sclr(GND),
3821
        .sload(GND),
3822
        .ena(VCC),
3823
        .inverta(GND),
3824
        .aload(GND),
3825
        .regcascin(GND)
3826
);
3827
defparam retw_alu_res_1_0_0_1_.operation_mode="normal";
3828
defparam retw_alu_res_1_0_0_1_.output_mode="comb_only";
3829
defparam retw_alu_res_1_0_0_1_.lut_mask="fff8";
3830
defparam retw_alu_res_1_0_0_1_.synch_mode="off";
3831
defparam retw_alu_res_1_0_0_1_.sum_lutc_input="datac";
3832
// @11:151
3833
  cyclone_lcell w_alu_res_1_0_a2_0_0_ (
3834
        .combout(w_alu_res_1_0_a2_0[0]),
3835
        .dataa(w_alu_op_r[0]),
3836
        .datab(w_alu_res_1_0_a2_0_a[0]),
3837
        .datac(un11_w_alu_res_add0),
3838
        .datad(w_alu_res_1_11_i_1[0]),
3839
        .aclr(GND),
3840
        .sclr(GND),
3841
        .sload(GND),
3842
        .ena(VCC),
3843
        .inverta(GND),
3844
        .aload(GND),
3845
        .regcascin(GND)
3846
);
3847
defparam w_alu_res_1_0_a2_0_0_.operation_mode="normal";
3848
defparam w_alu_res_1_0_a2_0_0_.output_mode="comb_only";
3849
defparam w_alu_res_1_0_a2_0_0_.lut_mask="00c8";
3850
defparam w_alu_res_1_0_a2_0_0_.synch_mode="off";
3851
defparam w_alu_res_1_0_a2_0_0_.sum_lutc_input="datac";
3852
// @11:151
3853
  cyclone_lcell w_alu_res_1_0_a2_0_a_0_ (
3854
        .combout(w_alu_res_1_0_a2_0_a[0]),
3855
        .dataa(w_alu_op_r[4]),
3856
        .datab(w_alu_op_r[0]),
3857
        .datac(w_alu_op_r[3]),
3858
        .datad(w_c_wr_r),
3859
        .aclr(GND),
3860
        .sclr(GND),
3861
        .sload(GND),
3862
        .ena(VCC),
3863
        .inverta(GND),
3864
        .aload(GND),
3865
        .regcascin(GND)
3866
);
3867
defparam w_alu_res_1_0_a2_0_a_0_.operation_mode="normal";
3868
defparam w_alu_res_1_0_a2_0_a_0_.output_mode="comb_only";
3869
defparam w_alu_res_1_0_a2_0_a_0_.lut_mask="4140";
3870
defparam w_alu_res_1_0_a2_0_a_0_.synch_mode="off";
3871
defparam w_alu_res_1_0_a2_0_a_0_.sum_lutc_input="datac";
3872
// @11:151
3873
  cyclone_lcell w_alu_res_1_0_a2_0_2_ (
3874
        .combout(w_alu_res_1_0_a2_0[2]),
3875
        .dataa(w_alu_op_r[0]),
3876
        .datab(w_alu_res_1_0_a2_0_a[0]),
3877
        .datac(un11_w_alu_res_add2),
3878
        .datad(w_alu_res_1_11_i_1[2]),
3879
        .aclr(GND),
3880
        .sclr(GND),
3881
        .sload(GND),
3882
        .ena(VCC),
3883
        .inverta(GND),
3884
        .aload(GND),
3885
        .regcascin(GND)
3886
);
3887
defparam w_alu_res_1_0_a2_0_2_.operation_mode="normal";
3888
defparam w_alu_res_1_0_a2_0_2_.output_mode="comb_only";
3889
defparam w_alu_res_1_0_a2_0_2_.lut_mask="00c8";
3890
defparam w_alu_res_1_0_a2_0_2_.synch_mode="off";
3891
defparam w_alu_res_1_0_a2_0_2_.sum_lutc_input="datac";
3892
// @11:151
3893
  cyclone_lcell w_alu_res_1_0_a2_0_1_ (
3894
        .combout(w_alu_res_1_0_a2_0[1]),
3895
        .dataa(w_alu_op_r[4]),
3896
        .datab(w_alu_res_1_11_i_0[1]),
3897
        .datac(w_alu_res_1_11_i_a2_0[1]),
3898
        .datad(w_alu_res_1_0_a2_0_a[1]),
3899
        .aclr(GND),
3900
        .sclr(GND),
3901
        .sload(GND),
3902
        .ena(VCC),
3903
        .inverta(GND),
3904
        .aload(GND),
3905
        .regcascin(GND)
3906
);
3907
defparam w_alu_res_1_0_a2_0_1_.operation_mode="normal";
3908
defparam w_alu_res_1_0_a2_0_1_.output_mode="comb_only";
3909
defparam w_alu_res_1_0_a2_0_1_.lut_mask="0001";
3910
defparam w_alu_res_1_0_a2_0_1_.synch_mode="off";
3911
defparam w_alu_res_1_0_a2_0_1_.sum_lutc_input="datac";
3912
// @11:151
3913
  cyclone_lcell w_alu_res_1_0_a2_0_a_1_ (
3914
        .combout(w_alu_res_1_0_a2_0_a[1]),
3915
        .dataa(w_alu_op_r[0]),
3916
        .datab(w_alu_op_r[3]),
3917
        .datac(w_c_wr_r),
3918
        .datad(un11_w_alu_res_add1),
3919
        .aclr(GND),
3920
        .sclr(GND),
3921
        .sload(GND),
3922
        .ena(VCC),
3923
        .inverta(GND),
3924
        .aload(GND),
3925
        .regcascin(GND)
3926
);
3927
defparam w_alu_res_1_0_a2_0_a_1_.operation_mode="normal";
3928
defparam w_alu_res_1_0_a2_0_a_1_.output_mode="comb_only";
3929
defparam w_alu_res_1_0_a2_0_a_1_.lut_mask="6777";
3930
defparam w_alu_res_1_0_a2_0_a_1_.synch_mode="off";
3931
defparam w_alu_res_1_0_a2_0_a_1_.sum_lutc_input="datac";
3932
// @11:151
3933
  cyclone_lcell w_alu_res_1_1_a_3_ (
3934
        .combout(w_alu_res_1_1_a[3]),
3935
        .dataa(w_wreg[3]),
3936
        .datab(w_alu_res_1_0_a3_2[0]),
3937
        .datac(w_alu_res_1_sn_m7_0_a2),
3938
        .datad(w_alu_res_1_5[3]),
3939
        .aclr(GND),
3940
        .sclr(GND),
3941
        .sload(GND),
3942
        .ena(VCC),
3943
        .inverta(GND),
3944
        .aload(GND),
3945
        .regcascin(GND)
3946
);
3947
defparam w_alu_res_1_1_a_3_.operation_mode="normal";
3948
defparam w_alu_res_1_1_a_3_.output_mode="comb_only";
3949
defparam w_alu_res_1_1_a_3_.lut_mask="737f";
3950
defparam w_alu_res_1_1_a_3_.synch_mode="off";
3951
defparam w_alu_res_1_1_a_3_.sum_lutc_input="datac";
3952
// @11:151
3953
  cyclone_lcell w_alu_res_1_3_a_4_ (
3954
        .combout(w_alu_res_1_3_a[4]),
3955
        .dataa(w_alu_res_1_0_a3_2[0]),
3956
        .datab(w_alu_res_1_sn_m7_0_a2),
3957
        .datac(w_alu_res_1_4[4]),
3958
        .datad(w_alu_res_1_5[4]),
3959
        .aclr(GND),
3960
        .sclr(GND),
3961
        .sload(GND),
3962
        .ena(VCC),
3963
        .inverta(GND),
3964
        .aload(GND),
3965
        .regcascin(GND)
3966
);
3967
defparam w_alu_res_1_3_a_4_.operation_mode="normal";
3968
defparam w_alu_res_1_3_a_4_.output_mode="comb_only";
3969
defparam w_alu_res_1_3_a_4_.lut_mask="5d7f";
3970
defparam w_alu_res_1_3_a_4_.synch_mode="off";
3971
defparam w_alu_res_1_3_a_4_.sum_lutc_input="datac";
3972
// @11:151
3973
  cyclone_lcell w_alu_res_1_6_a_5_ (
3974
        .combout(w_alu_res_1_6_a[5]),
3975
        .dataa(w_alu_res_1_0_a3_2[0]),
3976
        .datab(w_alu_res_1_sn_m7_0_a2),
3977
        .datac(w_alu_res_1_4[5]),
3978
        .datad(w_alu_res_1_5[5]),
3979
        .aclr(GND),
3980
        .sclr(GND),
3981
        .sload(GND),
3982
        .ena(VCC),
3983
        .inverta(GND),
3984
        .aload(GND),
3985
        .regcascin(GND)
3986
);
3987
defparam w_alu_res_1_6_a_5_.operation_mode="normal";
3988
defparam w_alu_res_1_6_a_5_.output_mode="comb_only";
3989
defparam w_alu_res_1_6_a_5_.lut_mask="5d7f";
3990
defparam w_alu_res_1_6_a_5_.synch_mode="off";
3991
defparam w_alu_res_1_6_a_5_.sum_lutc_input="datac";
3992
// @11:151
3993
  cyclone_lcell w_alu_res_1_6_a_6_ (
3994
        .combout(w_alu_res_1_6_a[6]),
3995
        .dataa(w_wreg[6]),
3996
        .datab(w_alu_res_1_0_a3_2[0]),
3997
        .datac(w_alu_res_1_sn_m7_0_a2),
3998
        .datad(w_alu_res_1_5[6]),
3999
        .aclr(GND),
4000
        .sclr(GND),
4001
        .sload(GND),
4002
        .ena(VCC),
4003
        .inverta(GND),
4004
        .aload(GND),
4005
        .regcascin(GND)
4006
);
4007
defparam w_alu_res_1_6_a_6_.operation_mode="normal";
4008
defparam w_alu_res_1_6_a_6_.output_mode="comb_only";
4009
defparam w_alu_res_1_6_a_6_.lut_mask="737f";
4010
defparam w_alu_res_1_6_a_6_.synch_mode="off";
4011
defparam w_alu_res_1_6_a_6_.sum_lutc_input="datac";
4012
// @11:151
4013
  cyclone_lcell w_alu_res_1_6_a_7_ (
4014
        .combout(w_alu_res_1_6_a[7]),
4015
        .dataa(w_alu_res_1_0_a3_2[0]),
4016
        .datab(w_alu_res_1_sn_m7_0_a2),
4017
        .datac(w_alu_res_1_4[7]),
4018
        .datad(w_alu_res_1_5[7]),
4019
        .aclr(GND),
4020
        .sclr(GND),
4021
        .sload(GND),
4022
        .ena(VCC),
4023
        .inverta(GND),
4024
        .aload(GND),
4025
        .regcascin(GND)
4026
);
4027
defparam w_alu_res_1_6_a_7_.operation_mode="normal";
4028
defparam w_alu_res_1_6_a_7_.output_mode="comb_only";
4029
defparam w_alu_res_1_6_a_7_.lut_mask="5d7f";
4030
defparam w_alu_res_1_6_a_7_.synch_mode="off";
4031
defparam w_alu_res_1_6_a_7_.sum_lutc_input="datac";
4032
// @11:151
4033
  cyclone_lcell w_alu_res_1_0_0_2_ (
4034
        .combout(w_alu_res_1_0_0[2]),
4035
        .dataa(w_wreg[2]),
4036
        .datab(w_alu_res_1_0_a3_1[0]),
4037
        .datac(mem_man_dout[2]),
4038
        .datad(w_alu_res_1_0_a2_1[2]),
4039
        .aclr(GND),
4040
        .sclr(GND),
4041
        .sload(GND),
4042
        .ena(VCC),
4043
        .inverta(GND),
4044
        .aload(GND),
4045
        .regcascin(GND)
4046
);
4047
defparam w_alu_res_1_0_0_2_.operation_mode="normal";
4048
defparam w_alu_res_1_0_0_2_.output_mode="comb_only";
4049
defparam w_alu_res_1_0_0_2_.lut_mask="ff80";
4050
defparam w_alu_res_1_0_0_2_.synch_mode="off";
4051
defparam w_alu_res_1_0_0_2_.sum_lutc_input="datac";
4052
// @11:151
4053
  cyclone_lcell w_alu_res_1_11_i_1_2_ (
4054
        .combout(w_alu_res_1_11_i_1[2]),
4055
        .dataa(w_c_wr_r),
4056
        .datab(w_alu_res_1_11_i_1_a[2]),
4057
        .datac(w_alu_res_1_11_i_0[2]),
4058
        .datad(un74_w_alu_res[2]),
4059
        .aclr(GND),
4060
        .sclr(GND),
4061
        .sload(GND),
4062
        .ena(VCC),
4063
        .inverta(GND),
4064
        .aload(GND),
4065
        .regcascin(GND)
4066
);
4067
defparam w_alu_res_1_11_i_1_2_.operation_mode="normal";
4068
defparam w_alu_res_1_11_i_1_2_.output_mode="comb_only";
4069
defparam w_alu_res_1_11_i_1_2_.lut_mask="f8f9";
4070
defparam w_alu_res_1_11_i_1_2_.synch_mode="off";
4071
defparam w_alu_res_1_11_i_1_2_.sum_lutc_input="datac";
4072
// @11:151
4073
  cyclone_lcell w_alu_res_1_11_i_1_a_2_ (
4074
        .combout(w_alu_res_1_11_i_1_a[2]),
4075
        .dataa(w_alu_op_r[0]),
4076
        .datab(w_alu_op_r[3]),
4077
        .datac(w_c_wr_r),
4078
        .datad(mem_man_dout[2]),
4079
        .aclr(GND),
4080
        .sclr(GND),
4081
        .sload(GND),
4082
        .ena(VCC),
4083
        .inverta(GND),
4084
        .aload(GND),
4085
        .regcascin(GND)
4086
);
4087
defparam w_alu_res_1_11_i_1_a_2_.operation_mode="normal";
4088
defparam w_alu_res_1_11_i_1_a_2_.output_mode="comb_only";
4089
defparam w_alu_res_1_11_i_1_a_2_.lut_mask="0787";
4090
defparam w_alu_res_1_11_i_1_a_2_.synch_mode="off";
4091
defparam w_alu_res_1_11_i_1_a_2_.sum_lutc_input="datac";
4092
// @11:151
4093
  cyclone_lcell w_alu_res_1_11_i_1_0_ (
4094
        .combout(w_alu_res_1_11_i_1[0]),
4095
        .dataa(w_alu_op_r[0]),
4096
        .datab(w_alu_op_r[3]),
4097
        .datac(mem_man_dout[0]),
4098
        .datad(w_alu_res_1_11_i_1_a[0]),
4099
        .aclr(GND),
4100
        .sclr(GND),
4101
        .sload(GND),
4102
        .ena(VCC),
4103
        .inverta(GND),
4104
        .aload(GND),
4105
        .regcascin(GND)
4106
);
4107
defparam w_alu_res_1_11_i_1_0_.operation_mode="normal";
4108
defparam w_alu_res_1_11_i_1_0_.output_mode="comb_only";
4109
defparam w_alu_res_1_11_i_1_0_.lut_mask="a208";
4110
defparam w_alu_res_1_11_i_1_0_.synch_mode="off";
4111
defparam w_alu_res_1_11_i_1_0_.sum_lutc_input="datac";
4112
// @11:151
4113
  cyclone_lcell w_alu_res_1_11_i_1_a_0_ (
4114
        .combout(w_alu_res_1_11_i_1_a[0]),
4115
        .dataa(w_alu_op_r[3]),
4116
        .datab(w_c_wr_r),
4117
        .datac(mem_man_dout[1]),
4118
        .datad(w_alu_res_1_11_i_x3[0]),
4119
        .aclr(GND),
4120
        .sclr(GND),
4121
        .sload(GND),
4122
        .ena(VCC),
4123
        .inverta(GND),
4124
        .aload(GND),
4125
        .regcascin(GND)
4126
);
4127
defparam w_alu_res_1_11_i_1_a_0_.operation_mode="normal";
4128
defparam w_alu_res_1_11_i_1_a_0_.output_mode="comb_only";
4129
defparam w_alu_res_1_11_i_1_a_0_.lut_mask="2637";
4130
defparam w_alu_res_1_11_i_1_a_0_.synch_mode="off";
4131
defparam w_alu_res_1_11_i_1_a_0_.sum_lutc_input="datac";
4132
// @11:151
4133
  cyclone_lcell w_alu_res_1_6_1_6_ (
4134
        .combout(w_alu_res_1_6_1[6]),
4135
        .dataa(w_wreg[6]),
4136
        .datab(w_alu_res_1_0_a3_1[0]),
4137
        .datac(mem_man_dout[6]),
4138
        .datad(w_alu_res_1_6_1_a[6]),
4139
        .aclr(GND),
4140
        .sclr(GND),
4141
        .sload(GND),
4142
        .ena(VCC),
4143
        .inverta(GND),
4144
        .aload(GND),
4145
        .regcascin(GND)
4146
);
4147
defparam w_alu_res_1_6_1_6_.operation_mode="normal";
4148
defparam w_alu_res_1_6_1_6_.output_mode="comb_only";
4149
defparam w_alu_res_1_6_1_6_.lut_mask="8ff0";
4150
defparam w_alu_res_1_6_1_6_.synch_mode="off";
4151
defparam w_alu_res_1_6_1_6_.sum_lutc_input="datac";
4152
// @11:151
4153
  cyclone_lcell w_alu_res_1_6_1_a_6_ (
4154
        .combout(w_alu_res_1_6_1_a[6]),
4155
        .dataa(w_c_wr_r),
4156
        .datab(w_alu_res_1_1_a3_1[3]),
4157
        .datac(mem_man_dout[6]),
4158
        .datad(un74_w_alu_res[6]),
4159
        .aclr(GND),
4160
        .sclr(GND),
4161
        .sload(GND),
4162
        .ena(VCC),
4163
        .inverta(GND),
4164
        .aload(GND),
4165
        .regcascin(GND)
4166
);
4167
defparam w_alu_res_1_6_1_a_6_.operation_mode="normal";
4168
defparam w_alu_res_1_6_1_a_6_.output_mode="comb_only";
4169
defparam w_alu_res_1_6_1_a_6_.lut_mask="3470";
4170
defparam w_alu_res_1_6_1_a_6_.synch_mode="off";
4171
defparam w_alu_res_1_6_1_a_6_.sum_lutc_input="datac";
4172
// @11:151
4173
  cyclone_lcell w_alu_res_1_1_1_3_ (
4174
        .combout(w_alu_res_1_1_1[3]),
4175
        .dataa(w_wreg[3]),
4176
        .datab(w_alu_res_1_0_a3_1[0]),
4177
        .datac(mem_man_dout[3]),
4178
        .datad(w_alu_res_1_1_1_a[3]),
4179
        .aclr(GND),
4180
        .sclr(GND),
4181
        .sload(GND),
4182
        .ena(VCC),
4183
        .inverta(GND),
4184
        .aload(GND),
4185
        .regcascin(GND)
4186
);
4187
defparam w_alu_res_1_1_1_3_.operation_mode="normal";
4188
defparam w_alu_res_1_1_1_3_.output_mode="comb_only";
4189
defparam w_alu_res_1_1_1_3_.lut_mask="8ff0";
4190
defparam w_alu_res_1_1_1_3_.synch_mode="off";
4191
defparam w_alu_res_1_1_1_3_.sum_lutc_input="datac";
4192
// @11:151
4193
  cyclone_lcell w_alu_res_1_1_1_a_3_ (
4194
        .combout(w_alu_res_1_1_1_a[3]),
4195
        .dataa(w_c_wr_r),
4196
        .datab(w_alu_res_1_1_a3_1[3]),
4197
        .datac(mem_man_dout[3]),
4198
        .datad(un74_w_alu_res[3]),
4199
        .aclr(GND),
4200
        .sclr(GND),
4201
        .sload(GND),
4202
        .ena(VCC),
4203
        .inverta(GND),
4204
        .aload(GND),
4205
        .regcascin(GND)
4206
);
4207
defparam w_alu_res_1_1_1_a_3_.operation_mode="normal";
4208
defparam w_alu_res_1_1_1_a_3_.output_mode="comb_only";
4209
defparam w_alu_res_1_1_1_a_3_.lut_mask="3470";
4210
defparam w_alu_res_1_1_1_a_3_.synch_mode="off";
4211
defparam w_alu_res_1_1_1_a_3_.sum_lutc_input="datac";
4212
// @11:151
4213
  cyclone_lcell w_alu_res_1_6_1_7_ (
4214
        .combout(w_alu_res_1_6_1[7]),
4215
        .dataa(w_wreg[7]),
4216
        .datab(w_alu_res_1_0_a3_1[0]),
4217
        .datac(mem_man_dout[7]),
4218
        .datad(w_alu_res_1_6_1_a[7]),
4219
        .aclr(GND),
4220
        .sclr(GND),
4221
        .sload(GND),
4222
        .ena(VCC),
4223
        .inverta(GND),
4224
        .aload(GND),
4225
        .regcascin(GND)
4226
);
4227
defparam w_alu_res_1_6_1_7_.operation_mode="normal";
4228
defparam w_alu_res_1_6_1_7_.output_mode="comb_only";
4229
defparam w_alu_res_1_6_1_7_.lut_mask="8ff0";
4230
defparam w_alu_res_1_6_1_7_.synch_mode="off";
4231
defparam w_alu_res_1_6_1_7_.sum_lutc_input="datac";
4232
// @11:151
4233
  cyclone_lcell w_alu_res_1_6_1_a_7_ (
4234
        .combout(w_alu_res_1_6_1_a[7]),
4235
        .dataa(w_c_wr_r),
4236
        .datab(w_alu_res_1_1_a3_1[3]),
4237
        .datac(mem_man_dout[7]),
4238
        .datad(un74_w_alu_res[7]),
4239
        .aclr(GND),
4240
        .sclr(GND),
4241
        .sload(GND),
4242
        .ena(VCC),
4243
        .inverta(GND),
4244
        .aload(GND),
4245
        .regcascin(GND)
4246
);
4247
defparam w_alu_res_1_6_1_a_7_.operation_mode="normal";
4248
defparam w_alu_res_1_6_1_a_7_.output_mode="comb_only";
4249
defparam w_alu_res_1_6_1_a_7_.lut_mask="3470";
4250
defparam w_alu_res_1_6_1_a_7_.synch_mode="off";
4251
defparam w_alu_res_1_6_1_a_7_.sum_lutc_input="datac";
4252
// @11:151
4253
  cyclone_lcell w_alu_res_1_6_1_5_ (
4254
        .combout(w_alu_res_1_6_1[5]),
4255
        .dataa(w_wreg[5]),
4256
        .datab(w_alu_res_1_0_a3_1[0]),
4257
        .datac(mem_man_dout[5]),
4258
        .datad(w_alu_res_1_6_1_a[5]),
4259
        .aclr(GND),
4260
        .sclr(GND),
4261
        .sload(GND),
4262
        .ena(VCC),
4263
        .inverta(GND),
4264
        .aload(GND),
4265
        .regcascin(GND)
4266
);
4267
defparam w_alu_res_1_6_1_5_.operation_mode="normal";
4268
defparam w_alu_res_1_6_1_5_.output_mode="comb_only";
4269
defparam w_alu_res_1_6_1_5_.lut_mask="8ff0";
4270
defparam w_alu_res_1_6_1_5_.synch_mode="off";
4271
defparam w_alu_res_1_6_1_5_.sum_lutc_input="datac";
4272
// @11:151
4273
  cyclone_lcell w_alu_res_1_6_1_a_5_ (
4274
        .combout(w_alu_res_1_6_1_a[5]),
4275
        .dataa(w_c_wr_r),
4276
        .datab(w_alu_res_1_1_a3_1[3]),
4277
        .datac(mem_man_dout[5]),
4278
        .datad(un74_w_alu_res[5]),
4279
        .aclr(GND),
4280
        .sclr(GND),
4281
        .sload(GND),
4282
        .ena(VCC),
4283
        .inverta(GND),
4284
        .aload(GND),
4285
        .regcascin(GND)
4286
);
4287
defparam w_alu_res_1_6_1_a_5_.operation_mode="normal";
4288
defparam w_alu_res_1_6_1_a_5_.output_mode="comb_only";
4289
defparam w_alu_res_1_6_1_a_5_.lut_mask="3470";
4290
defparam w_alu_res_1_6_1_a_5_.synch_mode="off";
4291
defparam w_alu_res_1_6_1_a_5_.sum_lutc_input="datac";
4292
// @11:151
4293
  cyclone_lcell w_alu_res_1_3_1_4_ (
4294
        .combout(w_alu_res_1_3_1[4]),
4295
        .dataa(w_wreg[4]),
4296
        .datab(w_alu_res_1_0_a3_1[0]),
4297
        .datac(mem_man_dout[4]),
4298
        .datad(w_alu_res_1_3_1_a[4]),
4299
        .aclr(GND),
4300
        .sclr(GND),
4301
        .sload(GND),
4302
        .ena(VCC),
4303
        .inverta(GND),
4304
        .aload(GND),
4305
        .regcascin(GND)
4306
);
4307
defparam w_alu_res_1_3_1_4_.operation_mode="normal";
4308
defparam w_alu_res_1_3_1_4_.output_mode="comb_only";
4309
defparam w_alu_res_1_3_1_4_.lut_mask="8ff0";
4310
defparam w_alu_res_1_3_1_4_.synch_mode="off";
4311
defparam w_alu_res_1_3_1_4_.sum_lutc_input="datac";
4312
// @11:151
4313
  cyclone_lcell w_alu_res_1_3_1_a_4_ (
4314
        .combout(w_alu_res_1_3_1_a[4]),
4315
        .dataa(w_c_wr_r),
4316
        .datab(w_alu_res_1_1_a3_1[3]),
4317
        .datac(mem_man_dout[4]),
4318
        .datad(un74_w_alu_res[4]),
4319
        .aclr(GND),
4320
        .sclr(GND),
4321
        .sload(GND),
4322
        .ena(VCC),
4323
        .inverta(GND),
4324
        .aload(GND),
4325
        .regcascin(GND)
4326
);
4327
defparam w_alu_res_1_3_1_a_4_.operation_mode="normal";
4328
defparam w_alu_res_1_3_1_a_4_.output_mode="comb_only";
4329
defparam w_alu_res_1_3_1_a_4_.lut_mask="3470";
4330
defparam w_alu_res_1_3_1_a_4_.synch_mode="off";
4331
defparam w_alu_res_1_3_1_a_4_.sum_lutc_input="datac";
4332
// @11:151
4333
  cyclone_lcell w_alu_res_1_11_i_0_2_ (
4334
        .combout(w_alu_res_1_11_i_0[2]),
4335
        .dataa(w_wreg[2]),
4336
        .datab(w_c_wr_r),
4337
        .datac(mem_man_dout[2]),
4338
        .datad(w_alu_res_1_11_i_0_a[2]),
4339
        .aclr(GND),
4340
        .sclr(GND),
4341
        .sload(GND),
4342
        .ena(VCC),
4343
        .inverta(GND),
4344
        .aload(GND),
4345
        .regcascin(GND)
4346
);
4347
defparam w_alu_res_1_11_i_0_2_.operation_mode="normal";
4348
defparam w_alu_res_1_11_i_0_2_.output_mode="comb_only";
4349
defparam w_alu_res_1_11_i_0_2_.lut_mask="ed00";
4350
defparam w_alu_res_1_11_i_0_2_.synch_mode="off";
4351
defparam w_alu_res_1_11_i_0_2_.sum_lutc_input="datac";
4352
// @11:151
4353
  cyclone_lcell w_alu_res_1_11_i_0_a_2_ (
4354
        .combout(w_alu_res_1_11_i_0_a[2]),
4355
        .dataa(w_alu_op_r[0]),
4356
        .datab(w_alu_op_r[3]),
4357
        .datac(w_c_wr_r),
4358
        .datad(mem_man_dout[3]),
4359
        .aclr(GND),
4360
        .sclr(GND),
4361
        .sload(GND),
4362
        .ena(VCC),
4363
        .inverta(GND),
4364
        .aload(GND),
4365
        .regcascin(GND)
4366
);
4367
defparam w_alu_res_1_11_i_0_a_2_.operation_mode="normal";
4368
defparam w_alu_res_1_11_i_0_a_2_.output_mode="comb_only";
4369
defparam w_alu_res_1_11_i_0_a_2_.lut_mask="0222";
4370
defparam w_alu_res_1_11_i_0_a_2_.synch_mode="off";
4371
defparam w_alu_res_1_11_i_0_a_2_.sum_lutc_input="datac";
4372
// @11:151
4373
  cyclone_lcell w_alu_res_1_11_i_0_1_ (
4374
        .combout(w_alu_res_1_11_i_0[1]),
4375
        .dataa(VCC),
4376
        .datab(w_alu_op_r[0]),
4377
        .datac(w_alu_op_r[3]),
4378
        .datad(w_alu_res_1_11_i_0_a[1]),
4379
        .aclr(GND),
4380
        .sclr(GND),
4381
        .sload(GND),
4382
        .ena(VCC),
4383
        .inverta(GND),
4384
        .aload(GND),
4385
        .regcascin(GND)
4386
);
4387
defparam w_alu_res_1_11_i_0_1_.operation_mode="normal";
4388
defparam w_alu_res_1_11_i_0_1_.output_mode="comb_only";
4389
defparam w_alu_res_1_11_i_0_1_.lut_mask="0c00";
4390
defparam w_alu_res_1_11_i_0_1_.synch_mode="off";
4391
defparam w_alu_res_1_11_i_0_1_.sum_lutc_input="datac";
4392
// @11:151
4393
  cyclone_lcell w_alu_res_1_11_i_0_a_1_ (
4394
        .combout(w_alu_res_1_11_i_0_a[1]),
4395
        .dataa(w_wreg[1]),
4396
        .datab(w_c_wr_r),
4397
        .datac(mem_man_dout[2]),
4398
        .datad(mem_man_dout[1]),
4399
        .aclr(GND),
4400
        .sclr(GND),
4401
        .sload(GND),
4402
        .ena(VCC),
4403
        .inverta(GND),
4404
        .aload(GND),
4405
        .regcascin(GND)
4406
);
4407
defparam w_alu_res_1_11_i_0_a_1_.operation_mode="normal";
4408
defparam w_alu_res_1_11_i_0_a_1_.output_mode="comb_only";
4409
defparam w_alu_res_1_11_i_0_a_1_.lut_mask="2e1d";
4410
defparam w_alu_res_1_11_i_0_a_1_.synch_mode="off";
4411
defparam w_alu_res_1_11_i_0_a_1_.sum_lutc_input="datac";
4412
// @11:151
4413
  cyclone_lcell w_alu_res_1_0_a2_1_2_ (
4414
        .combout(w_alu_res_1_0_a2_1[2]),
4415
        .dataa(w_alu_res_1_0_a3_2[0]),
4416
        .datab(w_alu_res_1_sn_m7_0_a2),
4417
        .datac(w_alu_res_1_4[2]),
4418
        .datad(w_alu_res_1_0_a2_1_a[2]),
4419
        .aclr(GND),
4420
        .sclr(GND),
4421
        .sload(GND),
4422
        .ena(VCC),
4423
        .inverta(GND),
4424
        .aload(GND),
4425
        .regcascin(GND)
4426
);
4427
defparam w_alu_res_1_0_a2_1_2_.operation_mode="normal";
4428
defparam w_alu_res_1_0_a2_1_2_.output_mode="comb_only";
4429
defparam w_alu_res_1_0_a2_1_2_.lut_mask="80a2";
4430
defparam w_alu_res_1_0_a2_1_2_.synch_mode="off";
4431
defparam w_alu_res_1_0_a2_1_2_.sum_lutc_input="datac";
4432
// @11:151
4433
  cyclone_lcell w_alu_res_1_0_a2_1_a_2_ (
4434
        .combout(w_alu_res_1_0_a2_1_a[2]),
4435
        .dataa(VCC),
4436
        .datab(w_alu_res142_0_3_0_a2),
4437
        .datac(un87_w_alu_res[2]),
4438
        .datad(w_alu_res_add2),
4439
        .aclr(GND),
4440
        .sclr(GND),
4441
        .sload(GND),
4442
        .ena(VCC),
4443
        .inverta(GND),
4444
        .aload(GND),
4445
        .regcascin(GND)
4446
);
4447
defparam w_alu_res_1_0_a2_1_a_2_.operation_mode="normal";
4448
defparam w_alu_res_1_0_a2_1_a_2_.output_mode="comb_only";
4449
defparam w_alu_res_1_0_a2_1_a_2_.lut_mask="0c3f";
4450
defparam w_alu_res_1_0_a2_1_a_2_.synch_mode="off";
4451
defparam w_alu_res_1_0_a2_1_a_2_.sum_lutc_input="datac";
4452
  cyclone_lcell G_271_cZ (
4453
        .combout(G_271),
4454
        .dataa(rst_c),
4455
        .datab(w_ek_r[2]),
4456
        .datac(w_ek_r[0]),
4457
        .datad(mem_man_write_out0_0_a3_0_o2),
4458
        .aclr(GND),
4459
        .sclr(GND),
4460
        .sload(GND),
4461
        .ena(VCC),
4462
        .inverta(GND),
4463
        .aload(GND),
4464
        .regcascin(GND)
4465
);
4466
defparam G_271_cZ.operation_mode="normal";
4467
defparam G_271_cZ.output_mode="comb_only";
4468
defparam G_271_cZ.lut_mask="aaea";
4469
defparam G_271_cZ.synch_mode="off";
4470
defparam G_271_cZ.sum_lutc_input="datac";
4471
// @11:151
4472
  cyclone_lcell w_alu_res_1_0_a2_1_0_ (
4473
        .combout(w_alu_res_1_0_a2_1[0]),
4474
        .dataa(w_wreg[0]),
4475
        .datab(w_alu_res_1_0_a3_2[0]),
4476
        .datac(w_alu_res_1_sn_m7_0_a2),
4477
        .datad(w_alu_res_1_0_a2_1_a[0]),
4478
        .aclr(GND),
4479
        .sclr(GND),
4480
        .sload(GND),
4481
        .ena(VCC),
4482
        .inverta(GND),
4483
        .aload(GND),
4484
        .regcascin(GND)
4485
);
4486
defparam w_alu_res_1_0_a2_1_0_.operation_mode="normal";
4487
defparam w_alu_res_1_0_a2_1_0_.output_mode="comb_only";
4488
defparam w_alu_res_1_0_a2_1_0_.lut_mask="8c80";
4489
defparam w_alu_res_1_0_a2_1_0_.synch_mode="off";
4490
defparam w_alu_res_1_0_a2_1_0_.sum_lutc_input="datac";
4491
// @11:151
4492
  cyclone_lcell w_alu_res_1_0_a2_1_a_0_ (
4493
        .combout(w_alu_res_1_0_a2_1_a[0]),
4494
        .dataa(VCC),
4495
        .datab(w_alu_res142_0_3_0_a2),
4496
        .datac(mem_man_dout[0]),
4497
        .datad(w_alu_res_add0),
4498
        .aclr(GND),
4499
        .sclr(GND),
4500
        .sload(GND),
4501
        .ena(VCC),
4502
        .inverta(GND),
4503
        .aload(GND),
4504
        .regcascin(GND)
4505
);
4506
defparam w_alu_res_1_0_a2_1_a_0_.operation_mode="normal";
4507
defparam w_alu_res_1_0_a2_1_a_0_.output_mode="comb_only";
4508
defparam w_alu_res_1_0_a2_1_a_0_.lut_mask="3f0c";
4509
defparam w_alu_res_1_0_a2_1_a_0_.synch_mode="off";
4510
defparam w_alu_res_1_0_a2_1_a_0_.sum_lutc_input="datac";
4511
// @11:151
4512
  cyclone_lcell w_alu_res_1_0_a3_1_0_ (
4513
        .combout(w_alu_res_1_0_a3_1[0]),
4514
        .dataa(VCC),
4515
        .datab(w_alu_op_r[3]),
4516
        .datac(w_alu_res_1_0_a3_1_a[0]),
4517
        .datad(w_alu_res142_0_3_0_a2),
4518
        .aclr(GND),
4519
        .sclr(GND),
4520
        .sload(GND),
4521
        .ena(VCC),
4522
        .inverta(GND),
4523
        .aload(GND),
4524
        .regcascin(GND)
4525
);
4526
defparam w_alu_res_1_0_a3_1_0_.operation_mode="normal";
4527
defparam w_alu_res_1_0_a3_1_0_.output_mode="comb_only";
4528
defparam w_alu_res_1_0_a3_1_0_.lut_mask="0030";
4529
defparam w_alu_res_1_0_a3_1_0_.synch_mode="off";
4530
defparam w_alu_res_1_0_a3_1_0_.sum_lutc_input="datac";
4531
// @11:151
4532
  cyclone_lcell w_alu_res_1_0_a3_1_a_0_ (
4533
        .combout(w_alu_res_1_0_a3_1_a[0]),
4534
        .dataa(VCC),
4535
        .datab(w_alu_op_r[4]),
4536
        .datac(w_alu_op_r[0]),
4537
        .datad(w_c_wr_r),
4538
        .aclr(GND),
4539
        .sclr(GND),
4540
        .sload(GND),
4541
        .ena(VCC),
4542
        .inverta(GND),
4543
        .aload(GND),
4544
        .regcascin(GND)
4545
);
4546
defparam w_alu_res_1_0_a3_1_a_0_.operation_mode="normal";
4547
defparam w_alu_res_1_0_a3_1_a_0_.output_mode="comb_only";
4548
defparam w_alu_res_1_0_a3_1_a_0_.lut_mask="3cc0";
4549
defparam w_alu_res_1_0_a3_1_a_0_.synch_mode="off";
4550
defparam w_alu_res_1_0_a3_1_a_0_.sum_lutc_input="datac";
4551
// @11:151
4552
  cyclone_lcell w_alu_res_1_11_i_a2_0_1_ (
4553
        .combout(w_alu_res_1_11_i_a2_0[1]),
4554
        .dataa(w_alu_op_r[0]),
4555
        .datab(w_c_wr_r),
4556
        .datac(mem_man_dout[1]),
4557
        .datad(un74_w_alu_res[1]),
4558
        .aclr(GND),
4559
        .sclr(GND),
4560
        .sload(GND),
4561
        .ena(VCC),
4562
        .inverta(GND),
4563
        .aload(GND),
4564
        .regcascin(GND)
4565
);
4566
defparam w_alu_res_1_11_i_a2_0_1_.operation_mode="normal";
4567
defparam w_alu_res_1_11_i_a2_0_1_.output_mode="comb_only";
4568
defparam w_alu_res_1_11_i_a2_0_1_.lut_mask="082a";
4569
defparam w_alu_res_1_11_i_a2_0_1_.synch_mode="off";
4570
defparam w_alu_res_1_11_i_a2_0_1_.sum_lutc_input="datac";
4571
  cyclone_lcell G_279_cZ (
4572
        .combout(G_279),
4573
        .dataa(rst_c),
4574
        .datab(w_ek_r[2]),
4575
        .datac(w_ek_r[0]),
4576
        .datad(mem_man_write_out0_0_a3_0_o2),
4577
        .aclr(GND),
4578
        .sclr(GND),
4579
        .sload(GND),
4580
        .ena(VCC),
4581
        .inverta(GND),
4582
        .aload(GND),
4583
        .regcascin(GND)
4584
);
4585
defparam G_279_cZ.operation_mode="normal";
4586
defparam G_279_cZ.output_mode="comb_only";
4587
defparam G_279_cZ.lut_mask="aaae";
4588
defparam G_279_cZ.synch_mode="off";
4589
defparam G_279_cZ.sum_lutc_input="datac";
4590
// @11:169
4591
  cyclone_lcell w_z_0_a2_cZ (
4592
        .combout(w_z_0_a2),
4593
        .dataa(w_alu_res_1_6[6]),
4594
        .datab(w_z_0_a2_a),
4595
        .datac(w_alu_res_1_0[2]),
4596
        .datad(w_z_0_a2_1),
4597
        .aclr(GND),
4598
        .sclr(GND),
4599
        .sload(GND),
4600
        .ena(VCC),
4601
        .inverta(GND),
4602
        .aload(GND),
4603
        .regcascin(GND)
4604
);
4605
defparam w_z_0_a2_cZ.operation_mode="normal";
4606
defparam w_z_0_a2_cZ.output_mode="comb_only";
4607
defparam w_z_0_a2_cZ.lut_mask="0400";
4608
defparam w_z_0_a2_cZ.synch_mode="off";
4609
defparam w_z_0_a2_cZ.sum_lutc_input="datac";
4610
// @11:169
4611
  cyclone_lcell w_z_0_a2_a_cZ (
4612
        .combout(w_z_0_a2_a),
4613
        .dataa(w_alu_res_1_0[0]),
4614
        .datab(w_alu_res_1_0[1]),
4615
        .datac(w_alu_res_1_6[7]),
4616
        .datad(w_alu_res_1_6[5]),
4617
        .aclr(GND),
4618
        .sclr(GND),
4619
        .sload(GND),
4620
        .ena(VCC),
4621
        .inverta(GND),
4622
        .aload(GND),
4623
        .regcascin(GND)
4624
);
4625
defparam w_z_0_a2_a_cZ.operation_mode="normal";
4626
defparam w_z_0_a2_a_cZ.output_mode="comb_only";
4627
defparam w_z_0_a2_a_cZ.lut_mask="0001";
4628
defparam w_z_0_a2_a_cZ.synch_mode="off";
4629
defparam w_z_0_a2_a_cZ.sum_lutc_input="datac";
4630
// @11:151
4631
  cyclone_lcell w_alu_res_1_4_7_ (
4632
        .combout(w_alu_res_1_4[7]),
4633
        .dataa(VCC),
4634
        .datab(w_wreg[7]),
4635
        .datac(w_alu_op_r[3]),
4636
        .datad(mem_man_dout[6]),
4637
        .aclr(GND),
4638
        .sclr(GND),
4639
        .sload(GND),
4640
        .ena(VCC),
4641
        .inverta(GND),
4642
        .aload(GND),
4643
        .regcascin(GND)
4644
);
4645
defparam w_alu_res_1_4_7_.operation_mode="normal";
4646
defparam w_alu_res_1_4_7_.output_mode="comb_only";
4647
defparam w_alu_res_1_4_7_.lut_mask="fc0c";
4648
defparam w_alu_res_1_4_7_.synch_mode="off";
4649
defparam w_alu_res_1_4_7_.sum_lutc_input="datac";
4650
  cyclone_lcell G_287_cZ (
4651
        .combout(G_287),
4652
        .dataa(rst_c),
4653
        .datab(w_ek_r[1]),
4654
        .datac(w_ek_r[2]),
4655
        .datad(G_287_a),
4656
        .aclr(GND),
4657
        .sclr(GND),
4658
        .sload(GND),
4659
        .ena(VCC),
4660
        .inverta(GND),
4661
        .aload(GND),
4662
        .regcascin(GND)
4663
);
4664
defparam G_287_cZ.operation_mode="normal";
4665
defparam G_287_cZ.output_mode="comb_only";
4666
defparam G_287_cZ.lut_mask="baaa";
4667
defparam G_287_cZ.synch_mode="off";
4668
defparam G_287_cZ.sum_lutc_input="datac";
4669
  cyclone_lcell G_287_a_cZ (
4670
        .combout(G_287_a),
4671
        .dataa(w_mem_wr_r),
4672
        .datab(w_ek_r[3]),
4673
        .datac(w_ek_r[4]),
4674
        .datad(w_ek_r[0]),
4675
        .aclr(GND),
4676
        .sclr(GND),
4677
        .sload(GND),
4678
        .ena(VCC),
4679
        .inverta(GND),
4680
        .aload(GND),
4681
        .regcascin(GND)
4682
);
4683
defparam G_287_a_cZ.operation_mode="normal";
4684
defparam G_287_a_cZ.output_mode="comb_only";
4685
defparam G_287_a_cZ.lut_mask="0002";
4686
defparam G_287_a_cZ.synch_mode="off";
4687
defparam G_287_a_cZ.sum_lutc_input="datac";
4688
// @11:151
4689
  cyclone_lcell w_alu_res_1_0_a3_2_0_ (
4690
        .combout(w_alu_res_1_0_a3_2[0]),
4691
        .dataa(w_alu_op_r[3]),
4692
        .datab(w_c_wr_r),
4693
        .datac(w_alu_res_1_0_a3_2_a[0]),
4694
        .datad(w_alu_res142_0_3_0_a2),
4695
        .aclr(GND),
4696
        .sclr(GND),
4697
        .sload(GND),
4698
        .ena(VCC),
4699
        .inverta(GND),
4700
        .aload(GND),
4701
        .regcascin(GND)
4702
);
4703
defparam w_alu_res_1_0_a3_2_0_.operation_mode="normal";
4704
defparam w_alu_res_1_0_a3_2_0_.output_mode="comb_only";
4705
defparam w_alu_res_1_0_a3_2_0_.lut_mask="1f10";
4706
defparam w_alu_res_1_0_a3_2_0_.synch_mode="off";
4707
defparam w_alu_res_1_0_a3_2_0_.sum_lutc_input="datac";
4708
// @11:151
4709
  cyclone_lcell w_alu_res_1_0_a3_2_a_0_ (
4710
        .combout(w_alu_res_1_0_a3_2_a[0]),
4711
        .dataa(w_alu_op_r[4]),
4712
        .datab(w_alu_op_r[0]),
4713
        .datac(w_alu_op_r[3]),
4714
        .datad(w_c_wr_r),
4715
        .aclr(GND),
4716
        .sclr(GND),
4717
        .sload(GND),
4718
        .ena(VCC),
4719
        .inverta(GND),
4720
        .aload(GND),
4721
        .regcascin(GND)
4722
);
4723
defparam w_alu_res_1_0_a3_2_a_0_.operation_mode="normal";
4724
defparam w_alu_res_1_0_a3_2_a_0_.output_mode="comb_only";
4725
defparam w_alu_res_1_0_a3_2_a_0_.lut_mask="4146";
4726
defparam w_alu_res_1_0_a3_2_a_0_.synch_mode="off";
4727
defparam w_alu_res_1_0_a3_2_a_0_.sum_lutc_input="datac";
4728
// @11:151
4729
  cyclone_lcell w_alu_res_1_4_2_ (
4730
        .combout(w_alu_res_1_4[2]),
4731
        .dataa(VCC),
4732
        .datab(w_wreg[2]),
4733
        .datac(w_alu_op_r[3]),
4734
        .datad(mem_man_dout[1]),
4735
        .aclr(GND),
4736
        .sclr(GND),
4737
        .sload(GND),
4738
        .ena(VCC),
4739
        .inverta(GND),
4740
        .aload(GND),
4741
        .regcascin(GND)
4742
);
4743
defparam w_alu_res_1_4_2_.operation_mode="normal";
4744
defparam w_alu_res_1_4_2_.output_mode="comb_only";
4745
defparam w_alu_res_1_4_2_.lut_mask="fc0c";
4746
defparam w_alu_res_1_4_2_.synch_mode="off";
4747
defparam w_alu_res_1_4_2_.sum_lutc_input="datac";
4748
// @11:151
4749
  cyclone_lcell w_alu_res_1_11_i_x3_0_ (
4750
        .combout(w_alu_res_1_11_i_x3[0]),
4751
        .dataa(VCC),
4752
        .datab(VCC),
4753
        .datac(w_wreg[0]),
4754
        .datad(mem_man_dout[0]),
4755
        .aclr(GND),
4756
        .sclr(GND),
4757
        .sload(GND),
4758
        .ena(VCC),
4759
        .inverta(GND),
4760
        .aload(GND),
4761
        .regcascin(GND)
4762
);
4763
defparam w_alu_res_1_11_i_x3_0_.operation_mode="normal";
4764
defparam w_alu_res_1_11_i_x3_0_.output_mode="comb_only";
4765
defparam w_alu_res_1_11_i_x3_0_.lut_mask="0ff0";
4766
defparam w_alu_res_1_11_i_x3_0_.synch_mode="off";
4767
defparam w_alu_res_1_11_i_x3_0_.sum_lutc_input="datac";
4768
// @11:151
4769
  cyclone_lcell w_alu_res_1_4_5_ (
4770
        .combout(w_alu_res_1_4[5]),
4771
        .dataa(VCC),
4772
        .datab(w_wreg[5]),
4773
        .datac(w_alu_op_r[3]),
4774
        .datad(mem_man_dout[4]),
4775
        .aclr(GND),
4776
        .sclr(GND),
4777
        .sload(GND),
4778
        .ena(VCC),
4779
        .inverta(GND),
4780
        .aload(GND),
4781
        .regcascin(GND)
4782
);
4783
defparam w_alu_res_1_4_5_.operation_mode="normal";
4784
defparam w_alu_res_1_4_5_.output_mode="comb_only";
4785
defparam w_alu_res_1_4_5_.lut_mask="fc0c";
4786
defparam w_alu_res_1_4_5_.synch_mode="off";
4787
defparam w_alu_res_1_4_5_.sum_lutc_input="datac";
4788
// @11:151
4789
  cyclone_lcell w_alu_res_1_4_4_ (
4790
        .combout(w_alu_res_1_4[4]),
4791
        .dataa(VCC),
4792
        .datab(w_wreg[4]),
4793
        .datac(w_alu_op_r[3]),
4794
        .datad(mem_man_dout[3]),
4795
        .aclr(GND),
4796
        .sclr(GND),
4797
        .sload(GND),
4798
        .ena(VCC),
4799
        .inverta(GND),
4800
        .aload(GND),
4801
        .regcascin(GND)
4802
);
4803
defparam w_alu_res_1_4_4_.operation_mode="normal";
4804
defparam w_alu_res_1_4_4_.output_mode="comb_only";
4805
defparam w_alu_res_1_4_4_.lut_mask="fc0c";
4806
defparam w_alu_res_1_4_4_.synch_mode="off";
4807
defparam w_alu_res_1_4_4_.sum_lutc_input="datac";
4808
// @11:173
4809
  cyclone_lcell w_c_2mem_i_a2_0_0_cZ (
4810
        .combout(w_c_2mem_i_a2_0_0),
4811
        .dataa(w_alu_op_r[4]),
4812
        .datab(w_alu_op_r[0]),
4813
        .datac(w_alu_op_r[3]),
4814
        .datad(w_c_wr_r),
4815
        .aclr(GND),
4816
        .sclr(GND),
4817
        .sload(GND),
4818
        .ena(VCC),
4819
        .inverta(GND),
4820
        .aload(GND),
4821
        .regcascin(GND)
4822
);
4823
defparam w_c_2mem_i_a2_0_0_cZ.operation_mode="normal";
4824
defparam w_c_2mem_i_a2_0_0_cZ.output_mode="comb_only";
4825
defparam w_c_2mem_i_a2_0_0_cZ.lut_mask="0e0f";
4826
defparam w_c_2mem_i_a2_0_0_cZ.synch_mode="off";
4827
defparam w_c_2mem_i_a2_0_0_cZ.sum_lutc_input="datac";
4828
// @11:236
4829
  cyclone_lcell w_alu_op_0_0_o2_0_a2_0_2_ (
4830
        .combout(w_alu_op_0_0_o2_0_a2_0[2]),
4831
        .dataa(w_ins[1]),
4832
        .datab(w_ins[4]),
4833
        .datac(w_ins[6]),
4834
        .datad(w_alu_op_0_0_o2_0_a2_0_a[2]),
4835
        .aclr(GND),
4836
        .sclr(GND),
4837
        .sload(GND),
4838
        .ena(VCC),
4839
        .inverta(GND),
4840
        .aload(GND),
4841
        .regcascin(GND)
4842
);
4843
defparam w_alu_op_0_0_o2_0_a2_0_2_.operation_mode="normal";
4844
defparam w_alu_op_0_0_o2_0_a2_0_2_.output_mode="comb_only";
4845
defparam w_alu_op_0_0_o2_0_a2_0_2_.lut_mask="1000";
4846
defparam w_alu_op_0_0_o2_0_a2_0_2_.synch_mode="off";
4847
defparam w_alu_op_0_0_o2_0_a2_0_2_.sum_lutc_input="datac";
4848
// @11:236
4849
  cyclone_lcell w_alu_op_0_0_o2_0_a2_0_a_2_ (
4850
        .combout(w_alu_op_0_0_o2_0_a2_0_a[2]),
4851
        .dataa(VCC),
4852
        .datab(w_ins[2]),
4853
        .datac(w_ins[3]),
4854
        .datad(w_ins[0]),
4855
        .aclr(GND),
4856
        .sclr(GND),
4857
        .sload(GND),
4858
        .ena(VCC),
4859
        .inverta(GND),
4860
        .aload(GND),
4861
        .regcascin(GND)
4862
);
4863
defparam w_alu_op_0_0_o2_0_a2_0_a_2_.operation_mode="normal";
4864
defparam w_alu_op_0_0_o2_0_a2_0_a_2_.output_mode="comb_only";
4865
defparam w_alu_op_0_0_o2_0_a2_0_a_2_.lut_mask="0003";
4866
defparam w_alu_op_0_0_o2_0_a2_0_a_2_.synch_mode="off";
4867
defparam w_alu_op_0_0_o2_0_a2_0_a_2_.sum_lutc_input="datac";
4868
// @11:151
4869
  cyclone_lcell w_alu_res_1_0_a2_1_1_ (
4870
        .combout(w_alu_res_1_0_a2_1[1]),
4871
        .dataa(w_wreg[1]),
4872
        .datab(w_alu_res_1_0_a3_2[0]),
4873
        .datac(w_alu_res_1_sn_m7_0_a2),
4874
        .datad(w_alu_res_1_0_a2_1_a[1]),
4875
        .aclr(GND),
4876
        .sclr(GND),
4877
        .sload(GND),
4878
        .ena(VCC),
4879
        .inverta(GND),
4880
        .aload(GND),
4881
        .regcascin(GND)
4882
);
4883
defparam w_alu_res_1_0_a2_1_1_.operation_mode="normal";
4884
defparam w_alu_res_1_0_a2_1_1_.output_mode="comb_only";
4885
defparam w_alu_res_1_0_a2_1_1_.lut_mask="808c";
4886
defparam w_alu_res_1_0_a2_1_1_.synch_mode="off";
4887
defparam w_alu_res_1_0_a2_1_1_.sum_lutc_input="datac";
4888
// @11:151
4889
  cyclone_lcell w_alu_res_1_0_a2_1_a_1_ (
4890
        .combout(w_alu_res_1_0_a2_1_a[1]),
4891
        .dataa(VCC),
4892
        .datab(w_alu_res142_0_3_0_a2),
4893
        .datac(un87_w_alu_res[1]),
4894
        .datad(w_alu_res_add1),
4895
        .aclr(GND),
4896
        .sclr(GND),
4897
        .sload(GND),
4898
        .ena(VCC),
4899
        .inverta(GND),
4900
        .aload(GND),
4901
        .regcascin(GND)
4902
);
4903
defparam w_alu_res_1_0_a2_1_a_1_.operation_mode="normal";
4904
defparam w_alu_res_1_0_a2_1_a_1_.output_mode="comb_only";
4905
defparam w_alu_res_1_0_a2_1_a_1_.lut_mask="0c3f";
4906
defparam w_alu_res_1_0_a2_1_a_1_.synch_mode="off";
4907
defparam w_alu_res_1_0_a2_1_a_1_.sum_lutc_input="datac";
4908
// @11:164
4909
  cyclone_lcell w_alu_res142_0_3_0_a2_cZ (
4910
        .combout(w_alu_res142_0_3_0_a2),
4911
        .dataa(w_alu_op_r[4]),
4912
        .datab(w_alu_op_r[0]),
4913
        .datac(w_alu_op_r[3]),
4914
        .datad(w_c_wr_r),
4915
        .aclr(GND),
4916
        .sclr(GND),
4917
        .sload(GND),
4918
        .ena(VCC),
4919
        .inverta(GND),
4920
        .aload(GND),
4921
        .regcascin(GND)
4922
);
4923
defparam w_alu_res142_0_3_0_a2_cZ.operation_mode="normal";
4924
defparam w_alu_res142_0_3_0_a2_cZ.output_mode="comb_only";
4925
defparam w_alu_res142_0_3_0_a2_cZ.lut_mask="1000";
4926
defparam w_alu_res142_0_3_0_a2_cZ.synch_mode="off";
4927
defparam w_alu_res142_0_3_0_a2_cZ.sum_lutc_input="datac";
4928
// @11:151
4929
  cyclone_lcell w_alu_res_1_1_a3_1_3_ (
4930
        .combout(w_alu_res_1_1_a3_1[3]),
4931
        .dataa(VCC),
4932
        .datab(w_alu_op_r[4]),
4933
        .datac(w_alu_op_r[0]),
4934
        .datad(w_alu_op_r[3]),
4935
        .aclr(GND),
4936
        .sclr(GND),
4937
        .sload(GND),
4938
        .ena(VCC),
4939
        .inverta(GND),
4940
        .aload(GND),
4941
        .regcascin(GND)
4942
);
4943
defparam w_alu_res_1_1_a3_1_3_.operation_mode="normal";
4944
defparam w_alu_res_1_1_a3_1_3_.output_mode="comb_only";
4945
defparam w_alu_res_1_1_a3_1_3_.lut_mask="3000";
4946
defparam w_alu_res_1_1_a3_1_3_.synch_mode="off";
4947
defparam w_alu_res_1_1_a3_1_3_.sum_lutc_input="datac";
4948
// @11:173
4949
  cyclone_lcell w_c_2mem_i_a3_cZ (
4950
        .combout(w_c_2mem_i_a3),
4951
        .dataa(w_alu_op_r[4]),
4952
        .datab(w_alu_op_r[0]),
4953
        .datac(w_alu_op_r[3]),
4954
        .datad(w_c_wr_r),
4955
        .aclr(GND),
4956
        .sclr(GND),
4957
        .sload(GND),
4958
        .ena(VCC),
4959
        .inverta(GND),
4960
        .aload(GND),
4961
        .regcascin(GND)
4962
);
4963
defparam w_c_2mem_i_a3_cZ.operation_mode="normal";
4964
defparam w_c_2mem_i_a3_cZ.output_mode="comb_only";
4965
defparam w_c_2mem_i_a3_cZ.lut_mask="0100";
4966
defparam w_c_2mem_i_a3_cZ.synch_mode="off";
4967
defparam w_c_2mem_i_a3_cZ.sum_lutc_input="datac";
4968
// @11:169
4969
  cyclone_lcell w_z_0_a2_1_cZ (
4970
        .combout(w_z_0_a2_1),
4971
        .dataa(VCC),
4972
        .datab(VCC),
4973
        .datac(w_alu_res_1_1[3]),
4974
        .datad(w_alu_res_1_3[4]),
4975
        .aclr(GND),
4976
        .sclr(GND),
4977
        .sload(GND),
4978
        .ena(VCC),
4979
        .inverta(GND),
4980
        .aload(GND),
4981
        .regcascin(GND)
4982
);
4983
defparam w_z_0_a2_1_cZ.operation_mode="normal";
4984
defparam w_z_0_a2_1_cZ.output_mode="comb_only";
4985
defparam w_z_0_a2_1_cZ.lut_mask="000f";
4986
defparam w_z_0_a2_1_cZ.synch_mode="off";
4987
defparam w_z_0_a2_1_cZ.sum_lutc_input="datac";
4988
// @11:151
4989
  cyclone_lcell w_alu_res_1_0_a2_2_0_0_ (
4990
        .combout(w_alu_res_1_0_a2_2_0[0]),
4991
        .dataa(VCC),
4992
        .datab(VCC),
4993
        .datac(w_wreg[0]),
4994
        .datad(w_alu_res_1_0_a3_1[0]),
4995
        .aclr(GND),
4996
        .sclr(GND),
4997
        .sload(GND),
4998
        .ena(VCC),
4999
        .inverta(GND),
5000
        .aload(GND),
5001
        .regcascin(GND)
5002
);
5003
defparam w_alu_res_1_0_a2_2_0_0_.operation_mode="normal";
5004
defparam w_alu_res_1_0_a2_2_0_0_.output_mode="comb_only";
5005
defparam w_alu_res_1_0_a2_2_0_0_.lut_mask="f000";
5006
defparam w_alu_res_1_0_a2_2_0_0_.synch_mode="off";
5007
defparam w_alu_res_1_0_a2_2_0_0_.sum_lutc_input="datac";
5008
// @11:151
5009
  cyclone_lcell w_alu_res_1_0_a2_2_0_1_ (
5010
        .combout(w_alu_res_1_0_a2_2_0[1]),
5011
        .dataa(VCC),
5012
        .datab(VCC),
5013
        .datac(w_wreg[1]),
5014
        .datad(w_alu_res_1_0_a3_1[0]),
5015
        .aclr(GND),
5016
        .sclr(GND),
5017
        .sload(GND),
5018
        .ena(VCC),
5019
        .inverta(GND),
5020
        .aload(GND),
5021
        .regcascin(GND)
5022
);
5023
defparam w_alu_res_1_0_a2_2_0_1_.operation_mode="normal";
5024
defparam w_alu_res_1_0_a2_2_0_1_.output_mode="comb_only";
5025
defparam w_alu_res_1_0_a2_2_0_1_.lut_mask="f000";
5026
defparam w_alu_res_1_0_a2_2_0_1_.synch_mode="off";
5027
defparam w_alu_res_1_0_a2_2_0_1_.sum_lutc_input="datac";
5028
// @11:151
5029
  cyclone_lcell w_alu_res_1_5_3_ (
5030
        .combout(w_alu_res_1_5[3]),
5031
        .dataa(VCC),
5032
        .datab(w_alu_res142_0_3_0_a2),
5033
        .datac(un87_w_alu_res[3]),
5034
        .datad(w_alu_res_add3),
5035
        .aclr(GND),
5036
        .sclr(GND),
5037
        .sload(GND),
5038
        .ena(VCC),
5039
        .inverta(GND),
5040
        .aload(GND),
5041
        .regcascin(GND)
5042
);
5043
defparam w_alu_res_1_5_3_.operation_mode="normal";
5044
defparam w_alu_res_1_5_3_.output_mode="comb_only";
5045
defparam w_alu_res_1_5_3_.lut_mask="f3c0";
5046
defparam w_alu_res_1_5_3_.synch_mode="off";
5047
defparam w_alu_res_1_5_3_.sum_lutc_input="datac";
5048
// @11:151
5049
  cyclone_lcell w_alu_res_1_5_6_ (
5050
        .combout(w_alu_res_1_5[6]),
5051
        .dataa(VCC),
5052
        .datab(w_alu_res142_0_3_0_a2),
5053
        .datac(un87_w_alu_res[6]),
5054
        .datad(w_alu_res_add6),
5055
        .aclr(GND),
5056
        .sclr(GND),
5057
        .sload(GND),
5058
        .ena(VCC),
5059
        .inverta(GND),
5060
        .aload(GND),
5061
        .regcascin(GND)
5062
);
5063
defparam w_alu_res_1_5_6_.operation_mode="normal";
5064
defparam w_alu_res_1_5_6_.output_mode="comb_only";
5065
defparam w_alu_res_1_5_6_.lut_mask="f3c0";
5066
defparam w_alu_res_1_5_6_.synch_mode="off";
5067
defparam w_alu_res_1_5_6_.sum_lutc_input="datac";
5068
// @11:151
5069
  cyclone_lcell w_alu_res_1_5_7_ (
5070
        .combout(w_alu_res_1_5[7]),
5071
        .dataa(VCC),
5072
        .datab(w_alu_res142_0_3_0_a2),
5073
        .datac(un87_w_alu_res[7]),
5074
        .datad(w_alu_res_add7),
5075
        .aclr(GND),
5076
        .sclr(GND),
5077
        .sload(GND),
5078
        .ena(VCC),
5079
        .inverta(GND),
5080
        .aload(GND),
5081
        .regcascin(GND)
5082
);
5083
defparam w_alu_res_1_5_7_.operation_mode="normal";
5084
defparam w_alu_res_1_5_7_.output_mode="comb_only";
5085
defparam w_alu_res_1_5_7_.lut_mask="f3c0";
5086
defparam w_alu_res_1_5_7_.synch_mode="off";
5087
defparam w_alu_res_1_5_7_.sum_lutc_input="datac";
5088
// @11:166
5089
  cyclone_lcell w_alu_res_1_sn_m7_0_a2_cZ (
5090
        .combout(w_alu_res_1_sn_m7_0_a2),
5091
        .dataa(VCC),
5092
        .datab(VCC),
5093
        .datac(w_alu_op_r[0]),
5094
        .datad(w_alu_res142_0_3_0_a2),
5095
        .aclr(GND),
5096
        .sclr(GND),
5097
        .sload(GND),
5098
        .ena(VCC),
5099
        .inverta(GND),
5100
        .aload(GND),
5101
        .regcascin(GND)
5102
);
5103
defparam w_alu_res_1_sn_m7_0_a2_cZ.operation_mode="normal";
5104
defparam w_alu_res_1_sn_m7_0_a2_cZ.output_mode="comb_only";
5105
defparam w_alu_res_1_sn_m7_0_a2_cZ.lut_mask="000f";
5106
defparam w_alu_res_1_sn_m7_0_a2_cZ.synch_mode="off";
5107
defparam w_alu_res_1_sn_m7_0_a2_cZ.sum_lutc_input="datac";
5108
// @11:173
5109
  cyclone_lcell w_c_2mem_i_a2_0 (
5110
        .combout(N_796),
5111
        .dataa(VCC),
5112
        .datab(VCC),
5113
        .datac(w_alu_op_r[3]),
5114
        .datad(un87_w_alu_res[8]),
5115
        .aclr(GND),
5116
        .sclr(GND),
5117
        .sload(GND),
5118
        .ena(VCC),
5119
        .inverta(GND),
5120
        .aload(GND),
5121
        .regcascin(GND)
5122
);
5123
defparam w_c_2mem_i_a2_0.operation_mode="normal";
5124
defparam w_c_2mem_i_a2_0.output_mode="comb_only";
5125
defparam w_c_2mem_i_a2_0.lut_mask="00f0";
5126
defparam w_c_2mem_i_a2_0.synch_mode="off";
5127
defparam w_c_2mem_i_a2_0.sum_lutc_input="datac";
5128
// @11:151
5129
  cyclone_lcell w_alu_res_1_5_5_ (
5130
        .combout(w_alu_res_1_5[5]),
5131
        .dataa(VCC),
5132
        .datab(w_alu_res142_0_3_0_a2),
5133
        .datac(un87_w_alu_res[5]),
5134
        .datad(w_alu_res_add5),
5135
        .aclr(GND),
5136
        .sclr(GND),
5137
        .sload(GND),
5138
        .ena(VCC),
5139
        .inverta(GND),
5140
        .aload(GND),
5141
        .regcascin(GND)
5142
);
5143
defparam w_alu_res_1_5_5_.operation_mode="normal";
5144
defparam w_alu_res_1_5_5_.output_mode="comb_only";
5145
defparam w_alu_res_1_5_5_.lut_mask="f3c0";
5146
defparam w_alu_res_1_5_5_.synch_mode="off";
5147
defparam w_alu_res_1_5_5_.sum_lutc_input="datac";
5148
// @11:151
5149
  cyclone_lcell w_alu_res_1_5_4_ (
5150
        .combout(w_alu_res_1_5[4]),
5151
        .dataa(VCC),
5152
        .datab(w_alu_res142_0_3_0_a2),
5153
        .datac(un87_w_alu_res[4]),
5154
        .datad(w_alu_res_add4),
5155
        .aclr(GND),
5156
        .sclr(GND),
5157
        .sload(GND),
5158
        .ena(VCC),
5159
        .inverta(GND),
5160
        .aload(GND),
5161
        .regcascin(GND)
5162
);
5163
defparam w_alu_res_1_5_4_.operation_mode="normal";
5164
defparam w_alu_res_1_5_4_.output_mode="comb_only";
5165
defparam w_alu_res_1_5_4_.lut_mask="f3c0";
5166
defparam w_alu_res_1_5_4_.synch_mode="off";
5167
defparam w_alu_res_1_5_4_.sum_lutc_input="datac";
5168
// @11:153
5169
  cyclone_lcell un11_w_alu_res_add7_cZ (
5170
        .combout(un11_w_alu_res_add7),
5171
        .cout(un11_w_alu_res_add7_cout),
5172
        .dataa(w_wreg[7]),
5173
        .datab(mem_man_dout[7]),
5174
        .datac(VCC),
5175
        .datad(VCC),
5176
        .aclr(GND),
5177
        .sclr(GND),
5178
        .sload(GND),
5179
        .ena(VCC),
5180
        .cin(un11_w_alu_res_carry_6),
5181
        .inverta(GND),
5182
        .aload(GND),
5183
        .regcascin(GND)
5184
);
5185
defparam un11_w_alu_res_add7_cZ.cin_used="true";
5186
defparam un11_w_alu_res_add7_cZ.operation_mode="arithmetic";
5187
defparam un11_w_alu_res_add7_cZ.output_mode="comb_only";
5188
defparam un11_w_alu_res_add7_cZ.lut_mask="69d4";
5189
defparam un11_w_alu_res_add7_cZ.synch_mode="off";
5190
defparam un11_w_alu_res_add7_cZ.sum_lutc_input="cin";
5191
// @11:153
5192
  cyclone_lcell un11_w_alu_res_add6_cZ (
5193
        .combout(un11_w_alu_res_add6),
5194
        .cout(un11_w_alu_res_carry_6),
5195
        .dataa(w_wreg[6]),
5196
        .datab(mem_man_dout[6]),
5197
        .datac(VCC),
5198
        .datad(VCC),
5199
        .aclr(GND),
5200
        .sclr(GND),
5201
        .sload(GND),
5202
        .ena(VCC),
5203
        .cin(un11_w_alu_res_carry_5),
5204
        .inverta(GND),
5205
        .aload(GND),
5206
        .regcascin(GND)
5207
);
5208
defparam un11_w_alu_res_add6_cZ.cin_used="true";
5209
defparam un11_w_alu_res_add6_cZ.operation_mode="arithmetic";
5210
defparam un11_w_alu_res_add6_cZ.output_mode="comb_only";
5211
defparam un11_w_alu_res_add6_cZ.lut_mask="69d4";
5212
defparam un11_w_alu_res_add6_cZ.synch_mode="off";
5213
defparam un11_w_alu_res_add6_cZ.sum_lutc_input="cin";
5214
// @11:153
5215
  cyclone_lcell un11_w_alu_res_add5_cZ (
5216
        .combout(un11_w_alu_res_add5),
5217
        .cout(un11_w_alu_res_carry_5),
5218
        .dataa(w_wreg[5]),
5219
        .datab(mem_man_dout[5]),
5220
        .datac(VCC),
5221
        .datad(VCC),
5222
        .aclr(GND),
5223
        .sclr(GND),
5224
        .sload(GND),
5225
        .ena(VCC),
5226
        .cin(un11_w_alu_res_carry_4),
5227
        .inverta(GND),
5228
        .aload(GND),
5229
        .regcascin(GND)
5230
);
5231
defparam un11_w_alu_res_add5_cZ.cin_used="true";
5232
defparam un11_w_alu_res_add5_cZ.operation_mode="arithmetic";
5233
defparam un11_w_alu_res_add5_cZ.output_mode="comb_only";
5234
defparam un11_w_alu_res_add5_cZ.lut_mask="69d4";
5235
defparam un11_w_alu_res_add5_cZ.synch_mode="off";
5236
defparam un11_w_alu_res_add5_cZ.sum_lutc_input="cin";
5237
// @11:153
5238
  cyclone_lcell un11_w_alu_res_add4_cZ (
5239
        .combout(un11_w_alu_res_add4),
5240
        .cout(un11_w_alu_res_carry_4),
5241
        .dataa(w_wreg[4]),
5242
        .datab(mem_man_dout[4]),
5243
        .datac(VCC),
5244
        .datad(VCC),
5245
        .aclr(GND),
5246
        .sclr(GND),
5247
        .sload(GND),
5248
        .ena(VCC),
5249
        .cin(un11_w_alu_res_carry_3),
5250
        .inverta(GND),
5251
        .aload(GND),
5252
        .regcascin(GND)
5253
);
5254
defparam un11_w_alu_res_add4_cZ.cin_used="true";
5255
defparam un11_w_alu_res_add4_cZ.operation_mode="arithmetic";
5256
defparam un11_w_alu_res_add4_cZ.output_mode="comb_only";
5257
defparam un11_w_alu_res_add4_cZ.lut_mask="69d4";
5258
defparam un11_w_alu_res_add4_cZ.synch_mode="off";
5259
defparam un11_w_alu_res_add4_cZ.sum_lutc_input="cin";
5260
// @11:153
5261
  cyclone_lcell un11_w_alu_res_add3_cZ (
5262
        .combout(un11_w_alu_res_add3),
5263
        .cout(un11_w_alu_res_carry_3),
5264
        .dataa(w_wreg[3]),
5265
        .datab(mem_man_dout[3]),
5266
        .datac(VCC),
5267
        .datad(VCC),
5268
        .aclr(GND),
5269
        .sclr(GND),
5270
        .sload(GND),
5271
        .ena(VCC),
5272
        .cin(un11_w_alu_res_carry_2),
5273
        .inverta(GND),
5274
        .aload(GND),
5275
        .regcascin(GND)
5276
);
5277
defparam un11_w_alu_res_add3_cZ.cin_used="true";
5278
defparam un11_w_alu_res_add3_cZ.operation_mode="arithmetic";
5279
defparam un11_w_alu_res_add3_cZ.output_mode="comb_only";
5280
defparam un11_w_alu_res_add3_cZ.lut_mask="69d4";
5281
defparam un11_w_alu_res_add3_cZ.synch_mode="off";
5282
defparam un11_w_alu_res_add3_cZ.sum_lutc_input="cin";
5283
// @11:153
5284
  cyclone_lcell un11_w_alu_res_add2_cZ (
5285
        .combout(un11_w_alu_res_add2),
5286
        .cout(un11_w_alu_res_carry_2),
5287
        .dataa(w_wreg[2]),
5288
        .datab(mem_man_dout[2]),
5289
        .datac(VCC),
5290
        .datad(VCC),
5291
        .aclr(GND),
5292
        .sclr(GND),
5293
        .sload(GND),
5294
        .ena(VCC),
5295
        .cin(un11_w_alu_res_carry_1),
5296
        .inverta(GND),
5297
        .aload(GND),
5298
        .regcascin(GND)
5299
);
5300
defparam un11_w_alu_res_add2_cZ.cin_used="true";
5301
defparam un11_w_alu_res_add2_cZ.operation_mode="arithmetic";
5302
defparam un11_w_alu_res_add2_cZ.output_mode="comb_only";
5303
defparam un11_w_alu_res_add2_cZ.lut_mask="69d4";
5304
defparam un11_w_alu_res_add2_cZ.synch_mode="off";
5305
defparam un11_w_alu_res_add2_cZ.sum_lutc_input="cin";
5306
// @11:153
5307
  cyclone_lcell un11_w_alu_res_add1_cZ (
5308
        .combout(un11_w_alu_res_add1),
5309
        .cout(un11_w_alu_res_carry_1),
5310
        .dataa(w_wreg[1]),
5311
        .datab(mem_man_dout[1]),
5312
        .datac(VCC),
5313
        .datad(VCC),
5314
        .aclr(GND),
5315
        .sclr(GND),
5316
        .sload(GND),
5317
        .ena(VCC),
5318
        .cin(un11_w_alu_res_carry_0),
5319
        .inverta(GND),
5320
        .aload(GND),
5321
        .regcascin(GND)
5322
);
5323
defparam un11_w_alu_res_add1_cZ.cin_used="true";
5324
defparam un11_w_alu_res_add1_cZ.operation_mode="arithmetic";
5325
defparam un11_w_alu_res_add1_cZ.output_mode="comb_only";
5326
defparam un11_w_alu_res_add1_cZ.lut_mask="69d4";
5327
defparam un11_w_alu_res_add1_cZ.synch_mode="off";
5328
defparam un11_w_alu_res_add1_cZ.sum_lutc_input="cin";
5329
// @11:153
5330
  cyclone_lcell un11_w_alu_res_add0_cZ (
5331
        .combout(un11_w_alu_res_add0),
5332
        .cout(un11_w_alu_res_carry_0),
5333
        .dataa(w_wreg[0]),
5334
        .datab(mem_man_dout[0]),
5335
        .datac(VCC),
5336
        .datad(VCC),
5337
        .aclr(GND),
5338
        .sclr(GND),
5339
        .sload(GND),
5340
        .ena(VCC),
5341
        .inverta(GND),
5342
        .aload(GND),
5343
        .regcascin(GND)
5344
);
5345
defparam un11_w_alu_res_add0_cZ.operation_mode="arithmetic";
5346
defparam un11_w_alu_res_add0_cZ.output_mode="comb_only";
5347
defparam un11_w_alu_res_add0_cZ.lut_mask="66dd";
5348
defparam un11_w_alu_res_add0_cZ.synch_mode="off";
5349
defparam un11_w_alu_res_add0_cZ.sum_lutc_input="datac";
5350
// @11:152
5351
  cyclone_lcell w_alu_res_add7_cZ (
5352
        .combout(w_alu_res_add7),
5353
        .dataa(w_wreg[7]),
5354
        .datab(mem_man_dout[7]),
5355
        .datac(VCC),
5356
        .datad(VCC),
5357
        .aclr(GND),
5358
        .sclr(GND),
5359
        .sload(GND),
5360
        .ena(VCC),
5361
        .cin(w_alu_res_carry_6),
5362
        .inverta(GND),
5363
        .aload(GND),
5364
        .regcascin(GND)
5365
);
5366
defparam w_alu_res_add7_cZ.cin_used="true";
5367
defparam w_alu_res_add7_cZ.operation_mode="normal";
5368
defparam w_alu_res_add7_cZ.output_mode="comb_only";
5369
defparam w_alu_res_add7_cZ.lut_mask="9696";
5370
defparam w_alu_res_add7_cZ.synch_mode="off";
5371
defparam w_alu_res_add7_cZ.sum_lutc_input="cin";
5372
// @11:152
5373
  cyclone_lcell w_alu_res_add6_cZ (
5374
        .combout(w_alu_res_add6),
5375
        .cout(w_alu_res_carry_6),
5376
        .dataa(w_wreg[6]),
5377
        .datab(mem_man_dout[6]),
5378
        .datac(VCC),
5379
        .datad(VCC),
5380
        .aclr(GND),
5381
        .sclr(GND),
5382
        .sload(GND),
5383
        .ena(VCC),
5384
        .cin(w_alu_res_carry_5),
5385
        .inverta(GND),
5386
        .aload(GND),
5387
        .regcascin(GND)
5388
);
5389
defparam w_alu_res_add6_cZ.cin_used="true";
5390
defparam w_alu_res_add6_cZ.operation_mode="arithmetic";
5391
defparam w_alu_res_add6_cZ.output_mode="comb_only";
5392
defparam w_alu_res_add6_cZ.lut_mask="96e8";
5393
defparam w_alu_res_add6_cZ.synch_mode="off";
5394
defparam w_alu_res_add6_cZ.sum_lutc_input="cin";
5395
// @11:152
5396
  cyclone_lcell w_alu_res_add5_cZ (
5397
        .combout(w_alu_res_add5),
5398
        .cout(w_alu_res_carry_5),
5399
        .dataa(w_wreg[5]),
5400
        .datab(mem_man_dout[5]),
5401
        .datac(VCC),
5402
        .datad(VCC),
5403
        .aclr(GND),
5404
        .sclr(GND),
5405
        .sload(GND),
5406
        .ena(VCC),
5407
        .cin(w_alu_res_carry_4),
5408
        .inverta(GND),
5409
        .aload(GND),
5410
        .regcascin(GND)
5411
);
5412
defparam w_alu_res_add5_cZ.cin_used="true";
5413
defparam w_alu_res_add5_cZ.operation_mode="arithmetic";
5414
defparam w_alu_res_add5_cZ.output_mode="comb_only";
5415
defparam w_alu_res_add5_cZ.lut_mask="96e8";
5416
defparam w_alu_res_add5_cZ.synch_mode="off";
5417
defparam w_alu_res_add5_cZ.sum_lutc_input="cin";
5418
// @11:152
5419
  cyclone_lcell w_alu_res_add4_cZ (
5420
        .combout(w_alu_res_add4),
5421
        .cout(w_alu_res_carry_4),
5422
        .dataa(w_wreg[4]),
5423
        .datab(mem_man_dout[4]),
5424
        .datac(VCC),
5425
        .datad(VCC),
5426
        .aclr(GND),
5427
        .sclr(GND),
5428
        .sload(GND),
5429
        .ena(VCC),
5430
        .cin(w_alu_res_carry_3),
5431
        .inverta(GND),
5432
        .aload(GND),
5433
        .regcascin(GND)
5434
);
5435
defparam w_alu_res_add4_cZ.cin_used="true";
5436
defparam w_alu_res_add4_cZ.operation_mode="arithmetic";
5437
defparam w_alu_res_add4_cZ.output_mode="comb_only";
5438
defparam w_alu_res_add4_cZ.lut_mask="96e8";
5439
defparam w_alu_res_add4_cZ.synch_mode="off";
5440
defparam w_alu_res_add4_cZ.sum_lutc_input="cin";
5441
// @11:152
5442
  cyclone_lcell w_alu_res_add3_cZ (
5443
        .combout(w_alu_res_add3),
5444
        .cout(w_alu_res_carry_3),
5445
        .dataa(w_wreg[3]),
5446
        .datab(mem_man_dout[3]),
5447
        .datac(VCC),
5448
        .datad(VCC),
5449
        .aclr(GND),
5450
        .sclr(GND),
5451
        .sload(GND),
5452
        .ena(VCC),
5453
        .cin(w_alu_res_carry_2),
5454
        .inverta(GND),
5455
        .aload(GND),
5456
        .regcascin(GND)
5457
);
5458
defparam w_alu_res_add3_cZ.cin_used="true";
5459
defparam w_alu_res_add3_cZ.operation_mode="arithmetic";
5460
defparam w_alu_res_add3_cZ.output_mode="comb_only";
5461
defparam w_alu_res_add3_cZ.lut_mask="96e8";
5462
defparam w_alu_res_add3_cZ.synch_mode="off";
5463
defparam w_alu_res_add3_cZ.sum_lutc_input="cin";
5464
// @11:152
5465
  cyclone_lcell w_alu_res_add2_cZ (
5466
        .combout(w_alu_res_add2),
5467
        .cout(w_alu_res_carry_2),
5468
        .dataa(w_wreg[2]),
5469
        .datab(mem_man_dout[2]),
5470
        .datac(VCC),
5471
        .datad(VCC),
5472
        .aclr(GND),
5473
        .sclr(GND),
5474
        .sload(GND),
5475
        .ena(VCC),
5476
        .cin(w_alu_res_carry_1),
5477
        .inverta(GND),
5478
        .aload(GND),
5479
        .regcascin(GND)
5480
);
5481
defparam w_alu_res_add2_cZ.cin_used="true";
5482
defparam w_alu_res_add2_cZ.operation_mode="arithmetic";
5483
defparam w_alu_res_add2_cZ.output_mode="comb_only";
5484
defparam w_alu_res_add2_cZ.lut_mask="96e8";
5485
defparam w_alu_res_add2_cZ.synch_mode="off";
5486
defparam w_alu_res_add2_cZ.sum_lutc_input="cin";
5487
// @11:152
5488
  cyclone_lcell w_alu_res_add1_cZ (
5489
        .combout(w_alu_res_add1),
5490
        .cout(w_alu_res_carry_1),
5491
        .dataa(w_wreg[1]),
5492
        .datab(mem_man_dout[1]),
5493
        .datac(VCC),
5494
        .datad(VCC),
5495
        .aclr(GND),
5496
        .sclr(GND),
5497
        .sload(GND),
5498
        .ena(VCC),
5499
        .cin(w_alu_res_carry_0),
5500
        .inverta(GND),
5501
        .aload(GND),
5502
        .regcascin(GND)
5503
);
5504
defparam w_alu_res_add1_cZ.cin_used="true";
5505
defparam w_alu_res_add1_cZ.operation_mode="arithmetic";
5506
defparam w_alu_res_add1_cZ.output_mode="comb_only";
5507
defparam w_alu_res_add1_cZ.lut_mask="96e8";
5508
defparam w_alu_res_add1_cZ.synch_mode="off";
5509
defparam w_alu_res_add1_cZ.sum_lutc_input="cin";
5510
// @11:152
5511
  cyclone_lcell w_alu_res_add0_cZ (
5512
        .combout(w_alu_res_add0),
5513
        .cout(w_alu_res_carry_0),
5514
        .dataa(w_wreg[0]),
5515
        .datab(mem_man_dout[0]),
5516
        .datac(VCC),
5517
        .datad(VCC),
5518
        .aclr(GND),
5519
        .sclr(GND),
5520
        .sload(GND),
5521
        .ena(VCC),
5522
        .inverta(GND),
5523
        .aload(GND),
5524
        .regcascin(GND)
5525
);
5526
defparam w_alu_res_add0_cZ.operation_mode="arithmetic";
5527
defparam w_alu_res_add0_cZ.output_mode="comb_only";
5528
defparam w_alu_res_add0_cZ.lut_mask="6688";
5529
defparam w_alu_res_add0_cZ.synch_mode="off";
5530
defparam w_alu_res_add0_cZ.sum_lutc_input="datac";
5531
// @11:222
5532
  cyclone_lcell un4_w_pc_nxt_6_ (
5533
        .combout(un4_w_pc_nxt[6]),
5534
        .dataa(w_pc[6]),
5535
        .datab(VCC),
5536
        .datac(VCC),
5537
        .datad(VCC),
5538
        .aclr(GND),
5539
        .sclr(GND),
5540
        .sload(GND),
5541
        .ena(VCC),
5542
        .cin(un4_w_pc_nxt_cout[4]),
5543
        .inverta(GND),
5544
        .aload(GND),
5545
        .regcascin(GND)
5546
);
5547
defparam un4_w_pc_nxt_6_.cin_used="true";
5548
defparam un4_w_pc_nxt_6_.operation_mode="normal";
5549
defparam un4_w_pc_nxt_6_.output_mode="comb_only";
5550
defparam un4_w_pc_nxt_6_.lut_mask="5a5a";
5551
defparam un4_w_pc_nxt_6_.synch_mode="off";
5552
defparam un4_w_pc_nxt_6_.sum_lutc_input="cin";
5553
// @11:222
5554
  cyclone_lcell un4_w_pc_nxt_5_ (
5555
        .combout(un4_w_pc_nxt[5]),
5556
        .dataa(w_pc[4]),
5557
        .datab(w_pc[5]),
5558
        .datac(VCC),
5559
        .datad(VCC),
5560
        .aclr(GND),
5561
        .sclr(GND),
5562
        .sload(GND),
5563
        .ena(VCC),
5564
        .cin(un4_w_pc_nxt_cout[3]),
5565
        .inverta(GND),
5566
        .aload(GND),
5567
        .regcascin(GND)
5568
);
5569
defparam un4_w_pc_nxt_5_.cin_used="true";
5570
defparam un4_w_pc_nxt_5_.operation_mode="normal";
5571
defparam un4_w_pc_nxt_5_.output_mode="comb_only";
5572
defparam un4_w_pc_nxt_5_.lut_mask="6c6c";
5573
defparam un4_w_pc_nxt_5_.synch_mode="off";
5574
defparam un4_w_pc_nxt_5_.sum_lutc_input="cin";
5575
// @11:222
5576
  cyclone_lcell un4_w_pc_nxt_4_ (
5577
        .combout(un4_w_pc_nxt[4]),
5578
        .cout(un4_w_pc_nxt_cout[4]),
5579
        .dataa(w_pc[4]),
5580
        .datab(w_pc[5]),
5581
        .datac(VCC),
5582
        .datad(VCC),
5583
        .aclr(GND),
5584
        .sclr(GND),
5585
        .sload(GND),
5586
        .ena(VCC),
5587
        .cin(un4_w_pc_nxt_cout[2]),
5588
        .inverta(GND),
5589
        .aload(GND),
5590
        .regcascin(GND)
5591
);
5592
defparam un4_w_pc_nxt_4_.cin_used="true";
5593
defparam un4_w_pc_nxt_4_.operation_mode="arithmetic";
5594
defparam un4_w_pc_nxt_4_.output_mode="comb_only";
5595
defparam un4_w_pc_nxt_4_.lut_mask="5a80";
5596
defparam un4_w_pc_nxt_4_.synch_mode="off";
5597
defparam un4_w_pc_nxt_4_.sum_lutc_input="cin";
5598
// @11:222
5599
  cyclone_lcell un4_w_pc_nxt_3_ (
5600
        .combout(un4_w_pc_nxt[3]),
5601
        .cout(un4_w_pc_nxt_cout[3]),
5602
        .dataa(w_pc[2]),
5603
        .datab(w_pc[3]),
5604
        .datac(VCC),
5605
        .datad(VCC),
5606
        .aclr(GND),
5607
        .sclr(GND),
5608
        .sload(GND),
5609
        .ena(VCC),
5610
        .cin(un4_w_pc_nxt_cout[1]),
5611
        .inverta(GND),
5612
        .aload(GND),
5613
        .regcascin(GND)
5614
);
5615
defparam un4_w_pc_nxt_3_.cin_used="true";
5616
defparam un4_w_pc_nxt_3_.operation_mode="arithmetic";
5617
defparam un4_w_pc_nxt_3_.output_mode="comb_only";
5618
defparam un4_w_pc_nxt_3_.lut_mask="6c80";
5619
defparam un4_w_pc_nxt_3_.synch_mode="off";
5620
defparam un4_w_pc_nxt_3_.sum_lutc_input="cin";
5621
// @11:222
5622
  cyclone_lcell un4_w_pc_nxt_2_ (
5623
        .combout(un4_w_pc_nxt[2]),
5624
        .cout(un4_w_pc_nxt_cout[2]),
5625
        .dataa(w_pc[2]),
5626
        .datab(w_pc[3]),
5627
        .datac(VCC),
5628
        .datad(VCC),
5629
        .aclr(GND),
5630
        .sclr(GND),
5631
        .sload(GND),
5632
        .ena(VCC),
5633
        .cin(un4_w_pc_nxt_cout[0]),
5634
        .inverta(GND),
5635
        .aload(GND),
5636
        .regcascin(GND)
5637
);
5638
defparam un4_w_pc_nxt_2_.cin_used="true";
5639
defparam un4_w_pc_nxt_2_.operation_mode="arithmetic";
5640
defparam un4_w_pc_nxt_2_.output_mode="comb_only";
5641
defparam un4_w_pc_nxt_2_.lut_mask="5a80";
5642
defparam un4_w_pc_nxt_2_.synch_mode="off";
5643
defparam un4_w_pc_nxt_2_.sum_lutc_input="cin";
5644
// @11:222
5645
  cyclone_lcell un4_w_pc_nxt_1_ (
5646
        .combout(un4_w_pc_nxt[1]),
5647
        .cout(un4_w_pc_nxt_cout[1]),
5648
        .dataa(w_pc[0]),
5649
        .datab(w_pc[1]),
5650
        .datac(VCC),
5651
        .datad(VCC),
5652
        .aclr(GND),
5653
        .sclr(GND),
5654
        .sload(GND),
5655
        .ena(VCC),
5656
        .inverta(GND),
5657
        .aload(GND),
5658
        .regcascin(GND)
5659
);
5660
defparam un4_w_pc_nxt_1_.operation_mode="arithmetic";
5661
defparam un4_w_pc_nxt_1_.output_mode="comb_only";
5662
defparam un4_w_pc_nxt_1_.lut_mask="6688";
5663
defparam un4_w_pc_nxt_1_.synch_mode="off";
5664
defparam un4_w_pc_nxt_1_.sum_lutc_input="datac";
5665
// @11:222
5666
  cyclone_lcell un4_w_pc_nxt_0_ (
5667
        .combout(N_1),
5668
        .cout(un4_w_pc_nxt_cout[0]),
5669
        .dataa(w_pc[0]),
5670
        .datab(w_pc[1]),
5671
        .datac(VCC),
5672
        .datad(VCC),
5673
        .aclr(GND),
5674
        .sclr(GND),
5675
        .sload(GND),
5676
        .ena(VCC),
5677
        .inverta(GND),
5678
        .aload(GND),
5679
        .regcascin(GND)
5680
);
5681
defparam un4_w_pc_nxt_0_.operation_mode="arithmetic";
5682
defparam un4_w_pc_nxt_0_.output_mode="comb_only";
5683
defparam un4_w_pc_nxt_0_.lut_mask="5588";
5684
defparam un4_w_pc_nxt_0_.synch_mode="off";
5685
defparam un4_w_pc_nxt_0_.sum_lutc_input="datac";
5686
// @11:164
5687
  cyclone_lcell un87_w_alu_res_7_ (
5688
        .combout(un87_w_alu_res[7]),
5689
        .dataa(mem_man_dout[6]),
5690
        .datab(mem_man_dout[7]),
5691
        .datac(VCC),
5692
        .datad(VCC),
5693
        .aclr(GND),
5694
        .sclr(GND),
5695
        .sload(GND),
5696
        .ena(VCC),
5697
        .cin(un87_w_alu_res_cout[5]),
5698
        .inverta(GND),
5699
        .aload(GND),
5700
        .regcascin(GND)
5701
);
5702
defparam un87_w_alu_res_7_.cin_used="true";
5703
defparam un87_w_alu_res_7_.operation_mode="normal";
5704
defparam un87_w_alu_res_7_.output_mode="comb_only";
5705
defparam un87_w_alu_res_7_.lut_mask="6c6c";
5706
defparam un87_w_alu_res_7_.synch_mode="off";
5707
defparam un87_w_alu_res_7_.sum_lutc_input="cin";
5708
// @11:164
5709
  cyclone_lcell un87_w_alu_res_6_ (
5710
        .combout(un87_w_alu_res[6]),
5711
        .cout(un87_w_alu_res_cout[6]),
5712
        .dataa(mem_man_dout[6]),
5713
        .datab(mem_man_dout[7]),
5714
        .datac(VCC),
5715
        .datad(VCC),
5716
        .aclr(GND),
5717
        .sclr(GND),
5718
        .sload(GND),
5719
        .ena(VCC),
5720
        .cin(un87_w_alu_res_cout[4]),
5721
        .inverta(GND),
5722
        .aload(GND),
5723
        .regcascin(GND)
5724
);
5725
defparam un87_w_alu_res_6_.cin_used="true";
5726
defparam un87_w_alu_res_6_.operation_mode="arithmetic";
5727
defparam un87_w_alu_res_6_.output_mode="comb_only";
5728
defparam un87_w_alu_res_6_.lut_mask="5a80";
5729
defparam un87_w_alu_res_6_.synch_mode="off";
5730
defparam un87_w_alu_res_6_.sum_lutc_input="cin";
5731
// @11:164
5732
  cyclone_lcell un87_w_alu_res_5_ (
5733
        .combout(un87_w_alu_res[5]),
5734
        .cout(un87_w_alu_res_cout[5]),
5735
        .dataa(mem_man_dout[4]),
5736
        .datab(mem_man_dout[5]),
5737
        .datac(VCC),
5738
        .datad(VCC),
5739
        .aclr(GND),
5740
        .sclr(GND),
5741
        .sload(GND),
5742
        .ena(VCC),
5743
        .cin(un87_w_alu_res_cout[3]),
5744
        .inverta(GND),
5745
        .aload(GND),
5746
        .regcascin(GND)
5747
);
5748
defparam un87_w_alu_res_5_.cin_used="true";
5749
defparam un87_w_alu_res_5_.operation_mode="arithmetic";
5750
defparam un87_w_alu_res_5_.output_mode="comb_only";
5751
defparam un87_w_alu_res_5_.lut_mask="6c80";
5752
defparam un87_w_alu_res_5_.synch_mode="off";
5753
defparam un87_w_alu_res_5_.sum_lutc_input="cin";
5754
// @11:164
5755
  cyclone_lcell un87_w_alu_res_4_ (
5756
        .combout(un87_w_alu_res[4]),
5757
        .cout(un87_w_alu_res_cout[4]),
5758
        .dataa(mem_man_dout[4]),
5759
        .datab(mem_man_dout[5]),
5760
        .datac(VCC),
5761
        .datad(VCC),
5762
        .aclr(GND),
5763
        .sclr(GND),
5764
        .sload(GND),
5765
        .ena(VCC),
5766
        .cin(un87_w_alu_res_cout[2]),
5767
        .inverta(GND),
5768
        .aload(GND),
5769
        .regcascin(GND)
5770
);
5771
defparam un87_w_alu_res_4_.cin_used="true";
5772
defparam un87_w_alu_res_4_.operation_mode="arithmetic";
5773
defparam un87_w_alu_res_4_.output_mode="comb_only";
5774
defparam un87_w_alu_res_4_.lut_mask="5a80";
5775
defparam un87_w_alu_res_4_.synch_mode="off";
5776
defparam un87_w_alu_res_4_.sum_lutc_input="cin";
5777
// @11:164
5778
  cyclone_lcell un87_w_alu_res_3_ (
5779
        .combout(un87_w_alu_res[3]),
5780
        .cout(un87_w_alu_res_cout[3]),
5781
        .dataa(mem_man_dout[2]),
5782
        .datab(mem_man_dout[3]),
5783
        .datac(VCC),
5784
        .datad(VCC),
5785
        .aclr(GND),
5786
        .sclr(GND),
5787
        .sload(GND),
5788
        .ena(VCC),
5789
        .cin(un87_w_alu_res_cout[1]),
5790
        .inverta(GND),
5791
        .aload(GND),
5792
        .regcascin(GND)
5793
);
5794
defparam un87_w_alu_res_3_.cin_used="true";
5795
defparam un87_w_alu_res_3_.operation_mode="arithmetic";
5796
defparam un87_w_alu_res_3_.output_mode="comb_only";
5797
defparam un87_w_alu_res_3_.lut_mask="6c80";
5798
defparam un87_w_alu_res_3_.synch_mode="off";
5799
defparam un87_w_alu_res_3_.sum_lutc_input="cin";
5800
// @11:164
5801
  cyclone_lcell un87_w_alu_res_2_ (
5802
        .combout(un87_w_alu_res[2]),
5803
        .cout(un87_w_alu_res_cout[2]),
5804
        .dataa(mem_man_dout[2]),
5805
        .datab(mem_man_dout[3]),
5806
        .datac(VCC),
5807
        .datad(VCC),
5808
        .aclr(GND),
5809
        .sclr(GND),
5810
        .sload(GND),
5811
        .ena(VCC),
5812
        .cin(un87_w_alu_res_cout[0]),
5813
        .inverta(GND),
5814
        .aload(GND),
5815
        .regcascin(GND)
5816
);
5817
defparam un87_w_alu_res_2_.cin_used="true";
5818
defparam un87_w_alu_res_2_.operation_mode="arithmetic";
5819
defparam un87_w_alu_res_2_.output_mode="comb_only";
5820
defparam un87_w_alu_res_2_.lut_mask="5a80";
5821
defparam un87_w_alu_res_2_.synch_mode="off";
5822
defparam un87_w_alu_res_2_.sum_lutc_input="cin";
5823
// @11:164
5824
  cyclone_lcell un87_w_alu_res_1_ (
5825
        .combout(un87_w_alu_res[1]),
5826
        .cout(un87_w_alu_res_cout[1]),
5827
        .dataa(mem_man_dout[0]),
5828
        .datab(mem_man_dout[1]),
5829
        .datac(VCC),
5830
        .datad(VCC),
5831
        .aclr(GND),
5832
        .sclr(GND),
5833
        .sload(GND),
5834
        .ena(VCC),
5835
        .inverta(GND),
5836
        .aload(GND),
5837
        .regcascin(GND)
5838
);
5839
defparam un87_w_alu_res_1_.operation_mode="arithmetic";
5840
defparam un87_w_alu_res_1_.output_mode="comb_only";
5841
defparam un87_w_alu_res_1_.lut_mask="6688";
5842
defparam un87_w_alu_res_1_.synch_mode="off";
5843
defparam un87_w_alu_res_1_.sum_lutc_input="datac";
5844
// @11:164
5845
  cyclone_lcell un87_w_alu_res_0_ (
5846
        .combout(N_2),
5847
        .cout(un87_w_alu_res_cout[0]),
5848
        .dataa(mem_man_dout[0]),
5849
        .datab(mem_man_dout[1]),
5850
        .datac(VCC),
5851
        .datad(VCC),
5852
        .aclr(GND),
5853
        .sclr(GND),
5854
        .sload(GND),
5855
        .ena(VCC),
5856
        .inverta(GND),
5857
        .aload(GND),
5858
        .regcascin(GND)
5859
);
5860
defparam un87_w_alu_res_0_.operation_mode="arithmetic";
5861
defparam un87_w_alu_res_0_.output_mode="comb_only";
5862
defparam un87_w_alu_res_0_.lut_mask="5588";
5863
defparam un87_w_alu_res_0_.synch_mode="off";
5864
defparam un87_w_alu_res_0_.sum_lutc_input="datac";
5865
// @11:163
5866
  cyclone_lcell un74_w_alu_res_7_ (
5867
        .combout(un74_w_alu_res[7]),
5868
        .dataa(mem_man_dout[6]),
5869
        .datab(mem_man_dout[7]),
5870
        .datac(VCC),
5871
        .datad(VCC),
5872
        .aclr(GND),
5873
        .sclr(GND),
5874
        .sload(GND),
5875
        .ena(VCC),
5876
        .cin(un74_w_alu_res_cout[5]),
5877
        .inverta(GND),
5878
        .aload(GND),
5879
        .regcascin(GND)
5880
);
5881
defparam un74_w_alu_res_7_.cin_used="true";
5882
defparam un74_w_alu_res_7_.operation_mode="normal";
5883
defparam un74_w_alu_res_7_.output_mode="comb_only";
5884
defparam un74_w_alu_res_7_.lut_mask="c9c9";
5885
defparam un74_w_alu_res_7_.synch_mode="off";
5886
defparam un74_w_alu_res_7_.sum_lutc_input="cin";
5887
// @11:163
5888
  cyclone_lcell un74_w_alu_res_6_ (
5889
        .combout(un74_w_alu_res[6]),
5890
        .dataa(mem_man_dout[6]),
5891
        .datab(VCC),
5892
        .datac(VCC),
5893
        .datad(VCC),
5894
        .aclr(GND),
5895
        .sclr(GND),
5896
        .sload(GND),
5897
        .ena(VCC),
5898
        .cin(un74_w_alu_res_cout[4]),
5899
        .inverta(GND),
5900
        .aload(GND),
5901
        .regcascin(GND)
5902
);
5903
defparam un74_w_alu_res_6_.cin_used="true";
5904
defparam un74_w_alu_res_6_.operation_mode="normal";
5905
defparam un74_w_alu_res_6_.output_mode="comb_only";
5906
defparam un74_w_alu_res_6_.lut_mask="a5a5";
5907
defparam un74_w_alu_res_6_.synch_mode="off";
5908
defparam un74_w_alu_res_6_.sum_lutc_input="cin";
5909
// @11:163
5910
  cyclone_lcell un74_w_alu_res_5_ (
5911
        .combout(un74_w_alu_res[5]),
5912
        .cout(un74_w_alu_res_cout[5]),
5913
        .dataa(mem_man_dout[4]),
5914
        .datab(mem_man_dout[5]),
5915
        .datac(VCC),
5916
        .datad(VCC),
5917
        .aclr(GND),
5918
        .sclr(GND),
5919
        .sload(GND),
5920
        .ena(VCC),
5921
        .cin(un74_w_alu_res_cout[3]),
5922
        .inverta(GND),
5923
        .aload(GND),
5924
        .regcascin(GND)
5925
);
5926
defparam un74_w_alu_res_5_.cin_used="true";
5927
defparam un74_w_alu_res_5_.operation_mode="arithmetic";
5928
defparam un74_w_alu_res_5_.output_mode="comb_only";
5929
defparam un74_w_alu_res_5_.lut_mask="c9fe";
5930
defparam un74_w_alu_res_5_.synch_mode="off";
5931
defparam un74_w_alu_res_5_.sum_lutc_input="cin";
5932
// @11:163
5933
  cyclone_lcell un74_w_alu_res_4_ (
5934
        .combout(un74_w_alu_res[4]),
5935
        .cout(un74_w_alu_res_cout[4]),
5936
        .dataa(mem_man_dout[4]),
5937
        .datab(mem_man_dout[5]),
5938
        .datac(VCC),
5939
        .datad(VCC),
5940
        .aclr(GND),
5941
        .sclr(GND),
5942
        .sload(GND),
5943
        .ena(VCC),
5944
        .cin(un74_w_alu_res_cout[2]),
5945
        .inverta(GND),
5946
        .aload(GND),
5947
        .regcascin(GND)
5948
);
5949
defparam un74_w_alu_res_4_.cin_used="true";
5950
defparam un74_w_alu_res_4_.operation_mode="arithmetic";
5951
defparam un74_w_alu_res_4_.output_mode="comb_only";
5952
defparam un74_w_alu_res_4_.lut_mask="a5fe";
5953
defparam un74_w_alu_res_4_.synch_mode="off";
5954
defparam un74_w_alu_res_4_.sum_lutc_input="cin";
5955
// @11:163
5956
  cyclone_lcell un74_w_alu_res_3_ (
5957
        .combout(un74_w_alu_res[3]),
5958
        .cout(un74_w_alu_res_cout[3]),
5959
        .dataa(mem_man_dout[2]),
5960
        .datab(mem_man_dout[3]),
5961
        .datac(VCC),
5962
        .datad(VCC),
5963
        .aclr(GND),
5964
        .sclr(GND),
5965
        .sload(GND),
5966
        .ena(VCC),
5967
        .cin(un74_w_alu_res_cout[1]),
5968
        .inverta(GND),
5969
        .aload(GND),
5970
        .regcascin(GND)
5971
);
5972
defparam un74_w_alu_res_3_.cin_used="true";
5973
defparam un74_w_alu_res_3_.operation_mode="arithmetic";
5974
defparam un74_w_alu_res_3_.output_mode="comb_only";
5975
defparam un74_w_alu_res_3_.lut_mask="c9fe";
5976
defparam un74_w_alu_res_3_.synch_mode="off";
5977
defparam un74_w_alu_res_3_.sum_lutc_input="cin";
5978
// @11:163
5979
  cyclone_lcell un74_w_alu_res_2_ (
5980
        .combout(un74_w_alu_res[2]),
5981
        .cout(un74_w_alu_res_cout[2]),
5982
        .dataa(mem_man_dout[2]),
5983
        .datab(mem_man_dout[3]),
5984
        .datac(VCC),
5985
        .datad(VCC),
5986
        .aclr(GND),
5987
        .sclr(GND),
5988
        .sload(GND),
5989
        .ena(VCC),
5990
        .cin(un74_w_alu_res_cout[0]),
5991
        .inverta(GND),
5992
        .aload(GND),
5993
        .regcascin(GND)
5994
);
5995
defparam un74_w_alu_res_2_.cin_used="true";
5996
defparam un74_w_alu_res_2_.operation_mode="arithmetic";
5997
defparam un74_w_alu_res_2_.output_mode="comb_only";
5998
defparam un74_w_alu_res_2_.lut_mask="a5fe";
5999
defparam un74_w_alu_res_2_.synch_mode="off";
6000
defparam un74_w_alu_res_2_.sum_lutc_input="cin";
6001
// @11:163
6002
  cyclone_lcell un74_w_alu_res_1_ (
6003
        .combout(un74_w_alu_res[1]),
6004
        .cout(un74_w_alu_res_cout[1]),
6005
        .dataa(mem_man_dout[0]),
6006
        .datab(mem_man_dout[1]),
6007
        .datac(VCC),
6008
        .datad(VCC),
6009
        .aclr(GND),
6010
        .sclr(GND),
6011
        .sload(GND),
6012
        .ena(VCC),
6013
        .inverta(GND),
6014
        .aload(GND),
6015
        .regcascin(GND)
6016
);
6017
defparam un74_w_alu_res_1_.operation_mode="arithmetic";
6018
defparam un74_w_alu_res_1_.output_mode="comb_only";
6019
defparam un74_w_alu_res_1_.lut_mask="99ee";
6020
defparam un74_w_alu_res_1_.synch_mode="off";
6021
defparam un74_w_alu_res_1_.sum_lutc_input="datac";
6022
// @11:163
6023
  cyclone_lcell un74_w_alu_res_0_ (
6024
        .combout(N_3),
6025
        .cout(un74_w_alu_res_cout[0]),
6026
        .dataa(mem_man_dout[0]),
6027
        .datab(mem_man_dout[1]),
6028
        .datac(VCC),
6029
        .datad(VCC),
6030
        .aclr(GND),
6031
        .sclr(GND),
6032
        .sload(GND),
6033
        .ena(VCC),
6034
        .inverta(GND),
6035
        .aload(GND),
6036
        .regcascin(GND)
6037
);
6038
defparam un74_w_alu_res_0_.operation_mode="arithmetic";
6039
defparam un74_w_alu_res_0_.output_mode="comb_only";
6040
defparam un74_w_alu_res_0_.lut_mask="55ee";
6041
defparam un74_w_alu_res_0_.synch_mode="off";
6042
defparam un74_w_alu_res_0_.sum_lutc_input="datac";
6043
// @11:6
6044
  cyclone_io in1_in_7_ (
6045
        .padio(in1[7]),
6046
        .combout(in1_c[7]),
6047
        .datain(GND),
6048
        .oe(GND),
6049
        .outclk(GND),
6050
        .outclkena(VCC),
6051
        .inclk(GND),
6052
        .inclkena(VCC),
6053
        .areset(GND),
6054
        .sreset(GND)
6055
);
6056
defparam in1_in_7_.operation_mode = "input";
6057
// @11:6
6058
  cyclone_io in1_in_6_ (
6059
        .padio(in1[6]),
6060
        .combout(in1_c[6]),
6061
        .datain(GND),
6062
        .oe(GND),
6063
        .outclk(GND),
6064
        .outclkena(VCC),
6065
        .inclk(GND),
6066
        .inclkena(VCC),
6067
        .areset(GND),
6068
        .sreset(GND)
6069
);
6070
defparam in1_in_6_.operation_mode = "input";
6071
// @11:6
6072
  cyclone_io in1_in_5_ (
6073
        .padio(in1[5]),
6074
        .combout(in1_c[5]),
6075
        .datain(GND),
6076
        .oe(GND),
6077
        .outclk(GND),
6078
        .outclkena(VCC),
6079
        .inclk(GND),
6080
        .inclkena(VCC),
6081
        .areset(GND),
6082
        .sreset(GND)
6083
);
6084
defparam in1_in_5_.operation_mode = "input";
6085
// @11:6
6086
  cyclone_io in1_in_4_ (
6087
        .padio(in1[4]),
6088
        .combout(in1_c[4]),
6089
        .datain(GND),
6090
        .oe(GND),
6091
        .outclk(GND),
6092
        .outclkena(VCC),
6093
        .inclk(GND),
6094
        .inclkena(VCC),
6095
        .areset(GND),
6096
        .sreset(GND)
6097
);
6098
defparam in1_in_4_.operation_mode = "input";
6099
// @11:6
6100
  cyclone_io in1_in_3_ (
6101
        .padio(in1[3]),
6102
        .combout(in1_c[3]),
6103
        .datain(GND),
6104
        .oe(GND),
6105
        .outclk(GND),
6106
        .outclkena(VCC),
6107
        .inclk(GND),
6108
        .inclkena(VCC),
6109
        .areset(GND),
6110
        .sreset(GND)
6111
);
6112
defparam in1_in_3_.operation_mode = "input";
6113
// @11:6
6114
  cyclone_io in1_in_2_ (
6115
        .padio(in1[2]),
6116
        .combout(in1_c[2]),
6117
        .datain(GND),
6118
        .oe(GND),
6119
        .outclk(GND),
6120
        .outclkena(VCC),
6121
        .inclk(GND),
6122
        .inclkena(VCC),
6123
        .areset(GND),
6124
        .sreset(GND)
6125
);
6126
defparam in1_in_2_.operation_mode = "input";
6127
// @11:6
6128
  cyclone_io in1_in_1_ (
6129
        .padio(in1[1]),
6130
        .combout(in1_c[1]),
6131
        .datain(GND),
6132
        .oe(GND),
6133
        .outclk(GND),
6134
        .outclkena(VCC),
6135
        .inclk(GND),
6136
        .inclkena(VCC),
6137
        .areset(GND),
6138
        .sreset(GND)
6139
);
6140
defparam in1_in_1_.operation_mode = "input";
6141
// @11:6
6142
  cyclone_io in1_in_0_ (
6143
        .padio(in1[0]),
6144
        .combout(in1_c[0]),
6145
        .datain(GND),
6146
        .oe(GND),
6147
        .outclk(GND),
6148
        .outclkena(VCC),
6149
        .inclk(GND),
6150
        .inclkena(VCC),
6151
        .areset(GND),
6152
        .sreset(GND)
6153
);
6154
defparam in1_in_0_.operation_mode = "input";
6155
// @11:5
6156
  cyclone_io in0_in_7_ (
6157
        .padio(in0[7]),
6158
        .combout(in0_c[7]),
6159
        .datain(GND),
6160
        .oe(GND),
6161
        .outclk(GND),
6162
        .outclkena(VCC),
6163
        .inclk(GND),
6164
        .inclkena(VCC),
6165
        .areset(GND),
6166
        .sreset(GND)
6167
);
6168
defparam in0_in_7_.operation_mode = "input";
6169
// @11:5
6170
  cyclone_io in0_in_6_ (
6171
        .padio(in0[6]),
6172
        .combout(in0_c[6]),
6173
        .datain(GND),
6174
        .oe(GND),
6175
        .outclk(GND),
6176
        .outclkena(VCC),
6177
        .inclk(GND),
6178
        .inclkena(VCC),
6179
        .areset(GND),
6180
        .sreset(GND)
6181
);
6182
defparam in0_in_6_.operation_mode = "input";
6183
// @11:5
6184
  cyclone_io in0_in_5_ (
6185
        .padio(in0[5]),
6186
        .combout(in0_c[5]),
6187
        .datain(GND),
6188
        .oe(GND),
6189
        .outclk(GND),
6190
        .outclkena(VCC),
6191
        .inclk(GND),
6192
        .inclkena(VCC),
6193
        .areset(GND),
6194
        .sreset(GND)
6195
);
6196
defparam in0_in_5_.operation_mode = "input";
6197
// @11:5
6198
  cyclone_io in0_in_4_ (
6199
        .padio(in0[4]),
6200
        .combout(in0_c[4]),
6201
        .datain(GND),
6202
        .oe(GND),
6203
        .outclk(GND),
6204
        .outclkena(VCC),
6205
        .inclk(GND),
6206
        .inclkena(VCC),
6207
        .areset(GND),
6208
        .sreset(GND)
6209
);
6210
defparam in0_in_4_.operation_mode = "input";
6211
// @11:5
6212
  cyclone_io in0_in_3_ (
6213
        .padio(in0[3]),
6214
        .combout(in0_c[3]),
6215
        .datain(GND),
6216
        .oe(GND),
6217
        .outclk(GND),
6218
        .outclkena(VCC),
6219
        .inclk(GND),
6220
        .inclkena(VCC),
6221
        .areset(GND),
6222
        .sreset(GND)
6223
);
6224
defparam in0_in_3_.operation_mode = "input";
6225
// @11:5
6226
  cyclone_io in0_in_2_ (
6227
        .padio(in0[2]),
6228
        .combout(in0_c[2]),
6229
        .datain(GND),
6230
        .oe(GND),
6231
        .outclk(GND),
6232
        .outclkena(VCC),
6233
        .inclk(GND),
6234
        .inclkena(VCC),
6235
        .areset(GND),
6236
        .sreset(GND)
6237
);
6238
defparam in0_in_2_.operation_mode = "input";
6239
// @11:5
6240
  cyclone_io in0_in_1_ (
6241
        .padio(in0[1]),
6242
        .combout(in0_c[1]),
6243
        .datain(GND),
6244
        .oe(GND),
6245
        .outclk(GND),
6246
        .outclkena(VCC),
6247
        .inclk(GND),
6248
        .inclkena(VCC),
6249
        .areset(GND),
6250
        .sreset(GND)
6251
);
6252
defparam in0_in_1_.operation_mode = "input";
6253
// @11:5
6254
  cyclone_io in0_in_0_ (
6255
        .padio(in0[0]),
6256
        .combout(in0_c[0]),
6257
        .datain(GND),
6258
        .oe(GND),
6259
        .outclk(GND),
6260
        .outclkena(VCC),
6261
        .inclk(GND),
6262
        .inclkena(VCC),
6263
        .areset(GND),
6264
        .sreset(GND)
6265
);
6266
defparam in0_in_0_.operation_mode = "input";
6267
// @11:4
6268
  cyclone_io rst_in (
6269
        .padio(rst),
6270
        .combout(rst_c),
6271
        .datain(GND),
6272
        .oe(GND),
6273
        .outclk(GND),
6274
        .outclkena(VCC),
6275
        .inclk(GND),
6276
        .inclkena(VCC),
6277
        .areset(GND),
6278
        .sreset(GND)
6279
);
6280
defparam rst_in.operation_mode = "input";
6281
// @11:3
6282
  cyclone_io clk_in (
6283
        .padio(clk),
6284
        .combout(clk_c),
6285
        .datain(GND),
6286
        .oe(GND),
6287
        .outclk(GND),
6288
        .outclkena(VCC),
6289
        .inclk(GND),
6290
        .inclkena(VCC),
6291
        .areset(GND),
6292
        .sreset(GND)
6293
);
6294
defparam clk_in.operation_mode = "input";
6295
// @11:8
6296
  cyclone_io out1_out_7_ (
6297
        .padio(out1[7]),
6298
        .datain(mem_man_out1[7]),
6299
        .oe(VCC),
6300
        .outclk(GND),
6301
        .outclkena(VCC),
6302
        .inclk(GND),
6303
        .inclkena(VCC),
6304
        .areset(GND),
6305
        .sreset(GND)
6306
);
6307
defparam out1_out_7_.operation_mode = "output";
6308
// @11:8
6309
  cyclone_io out1_out_6_ (
6310
        .padio(out1[6]),
6311
        .datain(mem_man_out1[6]),
6312
        .oe(VCC),
6313
        .outclk(GND),
6314
        .outclkena(VCC),
6315
        .inclk(GND),
6316
        .inclkena(VCC),
6317
        .areset(GND),
6318
        .sreset(GND)
6319
);
6320
defparam out1_out_6_.operation_mode = "output";
6321
// @11:8
6322
  cyclone_io out1_out_5_ (
6323
        .padio(out1[5]),
6324
        .datain(mem_man_out1[5]),
6325
        .oe(VCC),
6326
        .outclk(GND),
6327
        .outclkena(VCC),
6328
        .inclk(GND),
6329
        .inclkena(VCC),
6330
        .areset(GND),
6331
        .sreset(GND)
6332
);
6333
defparam out1_out_5_.operation_mode = "output";
6334
// @11:8
6335
  cyclone_io out1_out_4_ (
6336
        .padio(out1[4]),
6337
        .datain(mem_man_out1[4]),
6338
        .oe(VCC),
6339
        .outclk(GND),
6340
        .outclkena(VCC),
6341
        .inclk(GND),
6342
        .inclkena(VCC),
6343
        .areset(GND),
6344
        .sreset(GND)
6345
);
6346
defparam out1_out_4_.operation_mode = "output";
6347
// @11:8
6348
  cyclone_io out1_out_3_ (
6349
        .padio(out1[3]),
6350
        .datain(mem_man_out1[3]),
6351
        .oe(VCC),
6352
        .outclk(GND),
6353
        .outclkena(VCC),
6354
        .inclk(GND),
6355
        .inclkena(VCC),
6356
        .areset(GND),
6357
        .sreset(GND)
6358
);
6359
defparam out1_out_3_.operation_mode = "output";
6360
// @11:8
6361
  cyclone_io out1_out_2_ (
6362
        .padio(out1[2]),
6363
        .datain(mem_man_out1[2]),
6364
        .oe(VCC),
6365
        .outclk(GND),
6366
        .outclkena(VCC),
6367
        .inclk(GND),
6368
        .inclkena(VCC),
6369
        .areset(GND),
6370
        .sreset(GND)
6371
);
6372
defparam out1_out_2_.operation_mode = "output";
6373
// @11:8
6374
  cyclone_io out1_out_1_ (
6375
        .padio(out1[1]),
6376
        .datain(mem_man_out1[1]),
6377
        .oe(VCC),
6378
        .outclk(GND),
6379
        .outclkena(VCC),
6380
        .inclk(GND),
6381
        .inclkena(VCC),
6382
        .areset(GND),
6383
        .sreset(GND)
6384
);
6385
defparam out1_out_1_.operation_mode = "output";
6386
// @11:8
6387
  cyclone_io out1_out_0_ (
6388
        .padio(out1[0]),
6389
        .datain(mem_man_out1[0]),
6390
        .oe(VCC),
6391
        .outclk(GND),
6392
        .outclkena(VCC),
6393
        .inclk(GND),
6394
        .inclkena(VCC),
6395
        .areset(GND),
6396
        .sreset(GND)
6397
);
6398
defparam out1_out_0_.operation_mode = "output";
6399
// @11:7
6400
  cyclone_io out0_out_7_ (
6401
        .padio(out0[7]),
6402
        .datain(mem_man_out0[7]),
6403
        .oe(VCC),
6404
        .outclk(GND),
6405
        .outclkena(VCC),
6406
        .inclk(GND),
6407
        .inclkena(VCC),
6408
        .areset(GND),
6409
        .sreset(GND)
6410
);
6411
defparam out0_out_7_.operation_mode = "output";
6412
// @11:7
6413
  cyclone_io out0_out_6_ (
6414
        .padio(out0[6]),
6415
        .datain(mem_man_out0[6]),
6416
        .oe(VCC),
6417
        .outclk(GND),
6418
        .outclkena(VCC),
6419
        .inclk(GND),
6420
        .inclkena(VCC),
6421
        .areset(GND),
6422
        .sreset(GND)
6423
);
6424
defparam out0_out_6_.operation_mode = "output";
6425
// @11:7
6426
  cyclone_io out0_out_5_ (
6427
        .padio(out0[5]),
6428
        .datain(mem_man_out0[5]),
6429
        .oe(VCC),
6430
        .outclk(GND),
6431
        .outclkena(VCC),
6432
        .inclk(GND),
6433
        .inclkena(VCC),
6434
        .areset(GND),
6435
        .sreset(GND)
6436
);
6437
defparam out0_out_5_.operation_mode = "output";
6438
// @11:7
6439
  cyclone_io out0_out_4_ (
6440
        .padio(out0[4]),
6441
        .datain(mem_man_out0[4]),
6442
        .oe(VCC),
6443
        .outclk(GND),
6444
        .outclkena(VCC),
6445
        .inclk(GND),
6446
        .inclkena(VCC),
6447
        .areset(GND),
6448
        .sreset(GND)
6449
);
6450
defparam out0_out_4_.operation_mode = "output";
6451
// @11:7
6452
  cyclone_io out0_out_3_ (
6453
        .padio(out0[3]),
6454
        .datain(mem_man_out0[3]),
6455
        .oe(VCC),
6456
        .outclk(GND),
6457
        .outclkena(VCC),
6458
        .inclk(GND),
6459
        .inclkena(VCC),
6460
        .areset(GND),
6461
        .sreset(GND)
6462
);
6463
defparam out0_out_3_.operation_mode = "output";
6464
// @11:7
6465
  cyclone_io out0_out_2_ (
6466
        .padio(out0[2]),
6467
        .datain(mem_man_out0[2]),
6468
        .oe(VCC),
6469
        .outclk(GND),
6470
        .outclkena(VCC),
6471
        .inclk(GND),
6472
        .inclkena(VCC),
6473
        .areset(GND),
6474
        .sreset(GND)
6475
);
6476
defparam out0_out_2_.operation_mode = "output";
6477
// @11:7
6478
  cyclone_io out0_out_1_ (
6479
        .padio(out0[1]),
6480
        .datain(mem_man_out0[1]),
6481
        .oe(VCC),
6482
        .outclk(GND),
6483
        .outclkena(VCC),
6484
        .inclk(GND),
6485
        .inclkena(VCC),
6486
        .areset(GND),
6487
        .sreset(GND)
6488
);
6489
defparam out0_out_1_.operation_mode = "output";
6490
// @11:7
6491
  cyclone_io out0_out_0_ (
6492
        .padio(out0[0]),
6493
        .datain(mem_man_out0[0]),
6494
        .oe(VCC),
6495
        .outclk(GND),
6496
        .outclkena(VCC),
6497
        .inclk(GND),
6498
        .inclkena(VCC),
6499
        .areset(GND),
6500
        .sreset(GND)
6501
);
6502
defparam out0_out_0_.operation_mode = "output";
6503
//@7:72
6504
//@7:72
6505
//@7:72
6506
// @11:80
6507
  wb_mem_man mem_man (
6508
        .w_ins_0(w_ins[0]),
6509
        .w_ins_1(w_ins[1]),
6510
        .w_ins_2(w_ins[2]),
6511
        .w_ins_3(w_ins[3]),
6512
        .w_ins_4(w_ins[4]),
6513
        .out0_0(mem_man_out0[0]),
6514
        .out0_1(mem_man_out0[1]),
6515
        .out0_2(mem_man_out0[2]),
6516
        .out0_3(mem_man_out0[3]),
6517
        .out0_4(mem_man_out0[4]),
6518
        .out0_5(mem_man_out0[5]),
6519
        .out0_6(mem_man_out0[6]),
6520
        .out0_7(mem_man_out0[7]),
6521
        .out1_0(mem_man_out1[0]),
6522
        .out1_1(mem_man_out1[1]),
6523
        .out1_2(mem_man_out1[2]),
6524
        .out1_3(mem_man_out1[3]),
6525
        .out1_4(mem_man_out1[4]),
6526
        .out1_5(mem_man_out1[5]),
6527
        .out1_6(mem_man_out1[6]),
6528
        .out1_7(mem_man_out1[7]),
6529
        .w_alu_res_1_1_0(w_alu_res_1_1[3]),
6530
        .w_alu_res_1_3_0(w_alu_res_1_3[4]),
6531
        .w_alu_res_1_6_0(w_alu_res_1_6[5]),
6532
        .w_alu_res_1_6_1(w_alu_res_1_6[6]),
6533
        .w_alu_res_1_6_2(w_alu_res_1_6[7]),
6534
        .in0_c_0(in0_c[0]),
6535
        .in0_c_1(in0_c[1]),
6536
        .in0_c_2(in0_c[2]),
6537
        .in0_c_3(in0_c[3]),
6538
        .in0_c_4(in0_c[4]),
6539
        .in0_c_5(in0_c[5]),
6540
        .in0_c_6(in0_c[6]),
6541
        .in0_c_7(in0_c[7]),
6542
        .w_alu_res_1_0_1(w_alu_res_1_0[1]),
6543
        .w_alu_res_1_0_2(w_alu_res_1_0[2]),
6544
        .w_alu_res_1_0_0(w_alu_res_1_0[0]),
6545
        .w_alu_res_1_0_a2_1_0(w_alu_res_1_0_a2_1[0]),
6546
        .w_alu_res_1_0_a2_1_1(w_alu_res_1_0_a2_1[1]),
6547
        .dout_4(mem_man_dout[4]),
6548
        .dout_7(mem_man_dout[7]),
6549
        .dout_5(mem_man_dout[5]),
6550
        .dout_3(mem_man_dout[3]),
6551
        .dout_2(mem_man_dout[2]),
6552
        .dout_6(mem_man_dout[6]),
6553
        .dout_0(mem_man_dout[0]),
6554
        .dout_1(mem_man_dout[1]),
6555
        .w_alu_res_1_0_a2_2_0_0(w_alu_res_1_0_a2_2_0[0]),
6556
        .w_alu_res_1_0_a2_2_0_1(w_alu_res_1_0_a2_2_0[1]),
6557
        .w_alu_res_1_0_0_0(w_alu_res_1_0_0[2]),
6558
        .w_alu_res_1_0_a2_0_0(w_alu_res_1_0_a2_0[0]),
6559
        .w_alu_res_1_0_a2_0_1(w_alu_res_1_0_a2_0[1]),
6560
        .w_alu_res_1_0_a2_0_2(w_alu_res_1_0_a2_0[2]),
6561
        .w_alu_res_1_1_a_0(w_alu_res_1_1_a[3]),
6562
        .w_alu_res_1_1_1_0(w_alu_res_1_1_1[3]),
6563
        .w_alu_res_1_3_a_0(w_alu_res_1_3_a[4]),
6564
        .w_alu_res_1_3_1_0(w_alu_res_1_3_1[4]),
6565
        .w_alu_res_1_6_a_2(w_alu_res_1_6_a[7]),
6566
        .w_alu_res_1_6_a_0(w_alu_res_1_6_a[5]),
6567
        .w_alu_res_1_6_a_1(w_alu_res_1_6_a[6]),
6568
        .w_alu_res_1_6_1_2(w_alu_res_1_6_1[7]),
6569
        .w_alu_res_1_6_1_0(w_alu_res_1_6_1[5]),
6570
        .w_alu_res_1_6_1_1(w_alu_res_1_6_1[6]),
6571
        .in1_c_7(in1_c[7]),
6572
        .in1_c_6(in1_c[6]),
6573
        .in1_c_5(in1_c[5]),
6574
        .in1_c_4(in1_c[4]),
6575
        .in1_c_3(in1_c[3]),
6576
        .in1_c_2(in1_c[2]),
6577
        .in1_c_1(in1_c[1]),
6578
        .in1_c_0(in1_c[0]),
6579
        .w_ek_r_4(w_ek_r[4]),
6580
        .w_ek_r_3(w_ek_r[3]),
6581
        .w_ek_r_2(w_ek_r[2]),
6582
        .w_ek_r_1(w_ek_r[1]),
6583
        .w_ek_r_0(w_ek_r[0]),
6584
        .write_out0_0_a3_0_o2(mem_man_write_out0_0_a3_0_o2),
6585
        .rst_c(rst_c),
6586
        .un11_w_alu_res_carry_7(un11_w_alu_res_carry_7),
6587
        .w_c_2mem_i_a2_0_0(w_c_2mem_i_a2_0_0),
6588
        .N_796(N_796),
6589
        .w_c_wr_r(w_c_wr_r),
6590
        .w_z_0_a2(w_z_0_a2),
6591
        .w_z_wr_r(w_z_wr_r),
6592
        .G_287(G_287),
6593
        .G_279(G_279),
6594
        .G_271(G_271),
6595
        .rst_i_i(rst_i_i),
6596
        .un11_w_alu_res_add7(un11_w_alu_res_add7),
6597
        .un11_w_alu_res_add3(un11_w_alu_res_add3),
6598
        .un11_w_alu_res_add4(un11_w_alu_res_add4),
6599
        .un11_w_alu_res_add5(un11_w_alu_res_add5),
6600
        .un11_w_alu_res_add6(un11_w_alu_res_add6),
6601
        .w_c_2mem_i_a3(w_c_2mem_i_a3),
6602
        .w_mem_wr_r(w_mem_wr_r),
6603
        .clk_c(clk_c)
6604
);
6605
// @11:209
6606
  pram program_rom (
6607
        .sclrsclrw_pc_nxt_0_0_a2_x_0(sclrsclrw_pc_nxt_0_0_a2_x[0]),
6608
        .sclrsclrw_pc_nxt_0_0_a2_x_1(sclrsclrw_pc_nxt_0_0_a2_x[1]),
6609
        .sclrsclrw_pc_nxt_0_0_a2_x_2(sclrsclrw_pc_nxt_0_0_a2_x[2]),
6610
        .sclrsclrw_pc_nxt_0_0_a2_x_3(sclrsclrw_pc_nxt_0_0_a2_x[3]),
6611
        .sclrsclrw_pc_nxt_0_0_a2_x_4(sclrsclrw_pc_nxt_0_0_a2_x[4]),
6612
        .sclrsclrw_pc_nxt_0_0_a2_x_5(sclrsclrw_pc_nxt_0_0_a2_x[5]),
6613
        .sclrsclrw_pc_nxt_0_0_a2_x_6(sclrsclrw_pc_nxt_0_0_a2_x[6]),
6614
        .w_ins_0(w_ins[0]),
6615
        .w_ins_1(w_ins[1]),
6616
        .w_ins_2(w_ins[2]),
6617
        .w_ins_3(w_ins[3]),
6618
        .w_ins_4(w_ins[4]),
6619
        .w_ins_6(w_ins[6]),
6620
        .w_ins_7(w_ins[7]),
6621
        .clk_c(clk_c),
6622
        .w_mem_wr(w_mem_wr)
6623
);
6624
endmodule /* ClaiRISC_core */
6625
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.