OpenCores
URL https://opencores.org/ocsvn/lxp32/lxp32/trunk

Subversion Repositories lxp32

[/] [lxp32/] [trunk/] [rtl/] [lxp32_interrupt_mux.vhd] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ring0_mipt
---------------------------------------------------------------------
2
-- Interrupt multiplexer
3
--
4
-- Part of the LXP32 CPU
5
--
6
-- Copyright (c) 2016 by Alex I. Kuznetsov
7
--
8
-- Manages LXP32 interrupts. Interrupts with lower numbers have
9
-- higher priority.
10
---------------------------------------------------------------------
11
 
12
library ieee;
13
use ieee.std_logic_1164.all;
14
use ieee.numeric_std.all;
15
 
16
entity lxp32_interrupt_mux is
17
        port(
18
                clk_i: in std_logic;
19
                rst_i: in std_logic;
20
 
21
                irq_i: in std_logic_vector(7 downto 0);
22
 
23
                interrupt_valid_o: out std_logic;
24
                interrupt_vector_o: out std_logic_vector(2 downto 0);
25
                interrupt_ready_i: in std_logic;
26 6 ring0_mipt
                interrupt_return_i: in std_logic;
27
 
28
                sp_waddr_i: in std_logic_vector(7 downto 0);
29
                sp_we_i: in std_logic;
30
                sp_wdata_i: in std_logic_vector(31 downto 0)
31 2 ring0_mipt
        );
32
end entity;
33
 
34
architecture rtl of lxp32_interrupt_mux is
35
 
36
signal irq_reg: std_logic_vector(irq_i'range):=(others=>'0');
37
 
38
type state_type is (Ready,Requested,WaitForExit);
39
signal state: state_type:=Ready;
40
 
41
signal pending_interrupts: std_logic_vector(irq_i'range):=(others=>'0');
42
 
43
signal interrupt_valid: std_logic:='0';
44
 
45 6 ring0_mipt
signal interrupts_enabled: std_logic_vector(7 downto 0):=(others=>'0');
46
signal interrupts_blocked: std_logic_vector(7 downto 0):=(others=>'0');
47
 
48 2 ring0_mipt
begin
49
 
50
-- Note: "disabled" interrupts (i.e. for which interrupts_enabled_i(i)='0')
51
-- are ignored completely, meaning that the interrupt handler won't be
52
-- called even if the interrupt is enabled later. Conversely, "blocked"
53
-- interrupts are registered, but their handlers are not called until they
54
-- are unblocked.
55
 
56
process (clk_i) is
57
begin
58
        if rising_edge(clk_i) then
59
                if rst_i='1' then
60
                        irq_reg<=(others=>'0');
61
                        pending_interrupts<=(others=>'0');
62
                        state<=Ready;
63
                        interrupt_valid<='0';
64 6 ring0_mipt
                        interrupt_vector_o<=(others=>'-');
65 2 ring0_mipt
                else
66
                        irq_reg<=irq_i;
67
 
68
                        pending_interrupts<=(pending_interrupts or
69
                                (irq_i and not irq_reg)) and
70 6 ring0_mipt
                                interrupts_enabled;
71 2 ring0_mipt
 
72
                        case state is
73
                        when Ready =>
74
                                for i in pending_interrupts'reverse_range loop -- lower interrupts have priority
75 6 ring0_mipt
                                        if pending_interrupts(i)='1' and interrupts_blocked(i)='0' then
76 2 ring0_mipt
                                                pending_interrupts(i)<='0';
77
                                                interrupt_valid<='1';
78
                                                interrupt_vector_o<=std_logic_vector(to_unsigned(i,3));
79
                                                state<=Requested;
80
                                                exit;
81
                                        end if;
82
                                end loop;
83
                        when Requested =>
84
                                if interrupt_ready_i='1' then
85
                                        interrupt_valid<='0';
86
                                        state<=WaitForExit;
87
                                end if;
88
                        when WaitForExit =>
89
                                if interrupt_return_i='1' then
90
                                        state<=Ready;
91
                                end if;
92
                        end case;
93
                end if;
94
        end if;
95
end process;
96
 
97
interrupt_valid_o<=interrupt_valid;
98
 
99 6 ring0_mipt
process (clk_i) is
100
begin
101
        if rising_edge(clk_i) then
102
                if rst_i='1' then
103
                        interrupts_enabled<=(others=>'0');
104
                        interrupts_blocked<=(others=>'0');
105
                elsif sp_we_i='1' and sp_waddr_i=X"FC" then
106
                        interrupts_enabled<=sp_wdata_i(7 downto 0);
107
                        interrupts_blocked<=sp_wdata_i(15 downto 8);
108
                end if;
109
        end if;
110
end process;
111
 
112 2 ring0_mipt
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.