OpenCores
URL https://opencores.org/ocsvn/lxp32/lxp32/trunk

Subversion Repositories lxp32

[/] [lxp32/] [trunk/] [verify/] [common_pkg/] [common_pkg.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ring0_mipt
---------------------------------------------------------------------
2
-- Common package for LXP32 testbenches
3
--
4
-- Part of the LXP32 verification environment
5
--
6
-- Copyright (c) 2016 by Alex I. Kuznetsov
7
--
8
-- Note: the "rand" function declared in this package implements
9
-- a linear congruent pseudo-random number generator as defined in
10
-- the ISO/IEC 9899:1999 standard.
11
---------------------------------------------------------------------
12
 
13
library ieee;
14
use ieee.std_logic_1164.all;
15
use ieee.numeric_std.all;
16
 
17
package common_pkg is
18
        shared variable rand_state: unsigned(31 downto 0):=to_unsigned(1,32);
19
 
20
        impure function rand return integer;
21
        impure function rand(a: integer; b: integer) return integer;
22
 
23
        function hex_string(x: std_logic_vector) return string;
24
end package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.