OpenCores
URL https://opencores.org/ocsvn/lxp32/lxp32/trunk

Subversion Repositories lxp32

[/] [lxp32/] [trunk/] [verify/] [icache/] [src/] [make/] [sources.make] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ring0_mipt
# CPU RTL
2
 
3
LXP32_DIR=../../../../rtl
4
LXP32_RTL=$(LXP32_DIR)/lxp32_ram256x32.vhd\
5
        $(LXP32_DIR)/lxp32_icache.vhd
6
 
7
# Testbench sources
8
 
9
COMMON_PKG_DIR=../../../common_pkg
10
TB_DIR=../../src/tb
11
TB_SRC=$(COMMON_PKG_DIR)/common_pkg.vhd\
12
        $(COMMON_PKG_DIR)/common_pkg_body.vhd\
13
        $(TB_DIR)/tb_pkg.vhd\
14
        $(TB_DIR)/cpu_model.vhd\
15
        $(TB_DIR)/ram_model.vhd\
16
        $(TB_DIR)/tb.vhd
17
 
18
TB_MOD=tb

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.