OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

[/] [m1_core/] [tags/] [first/] [hdl/] [filelist.dc] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fafa1971
# Synthesis script for dc_shell (Tcl mode)
2
 
3
# Analyze
4
set search_path [concat [list /home/fabrizio/m1_core/hdl/rtl/m1_cpu] $search_path]
5
analyze -format verilog ~/m1_core/hdl/rtl/m1_cpu/m1_alu.v
6
analyze -format verilog ~/m1_core/hdl/rtl/m1_cpu/m1_cpu.v
7
 
8
# Elaborate
9
elaborate m1_cpu
10
link
11
uniquify
12
check_design
13
 
14
# Constraints
15
create_clock -name "sys_clock_i" -period 2.0 -waveform {0 1.0} [get_ports "sys_clock_i"]
16
set_dont_touch_network [get_clocks "sys_clock_i"]
17
set_input_delay 1.25 -max -rise -clock "sys_clock_i" [get_ports "sys_reset_i"]
18
set_input_delay 1.25 -max -fall -clock "sys_clock_i" [get_ports "sys_reset_i"]
19
set_output_delay 1.25 -clock sys_clock_i -max -rise [all_outputs]
20
set_output_delay 1.25 -clock sys_clock_i -max -fall [all_outputs]
21
set_wire_load_mode "enclosed"
22
 
23
# Compile
24
compile -map_effort low
25
write -format db -hierarchy -output m1_cpu.db
26
write -format verilog -hierarchy -output m1_cpu.v
27
 
28
# Report
29
report_area > report_area.txt
30
report_timing > report_timing.txt
31
report_constraint -all_violators > report_constraint.txt
32
 
33
quit
34
 
35
 
36
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.