OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

[/] [m1_core/] [tags/] [first/] [run/] [synth/] [dc/] [command.log] - Blame information for rev 64

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fafa1971
#@ #
2
#@ # Running dc_shell Version 2001.08 -- Aug 22, 2001
3
#@ # Date:   Tue Jan 15 21:02:25 2008
4
#@
5
 
6
source /usr/synopsys/synthesis/admin/setup/.synopsys_dc.setup
7
#@ #
8
#@ #
9
#@ #            ".synopsys_dc.setup" Initialization File for
10
#@ #
11
#@ #                Dc_Shell and Design_Analyzer
12
#@ #
13
#@ #    The variables in this file define the behavior of many parts
14
#@ #    of the Synopsys Synthesis Tools.  Upon installation, they should
15
#@ #    be reviewed and modified to fit your site's needs.  Each engineer
16
#@ #    can have a .synopsys file in his/her home directory or current
17
#@ #    directory to override variable settings in this file.
18
#@ #
19
#@ #    Each logical grouping of variables is commented as to their
20
#@ #    nature and effect on the Synthesis Commands.  Examples of
21
#@ #    variable groups are the Compile Variable Group, which affects
22
#@ #    the designs produced by the COMPILE command, and the Schematic
23
#@ #    Variable Group, which affects the output of the create_schematic
24
#@ #    command.
25
#@ #
26
#@ #    You can type "man _variables" in dc_shell or
27
#@ #    design_analyzer to get help about a group of variables.
28
#@ #    For instance, to get help about the "system" variable group,
29
#@ #    type "help system_variables".  You can also type
30
#@ #    "man ", to get help on the that variable's
31
#@ #    group.
32
#@ #
33
#@
34
#@ # System variables
35
#@ set sh_command_abbrev_mode       "Anywhere"
36
#@ set sh_continue_on_error         "true"
37
#@ set sh_enable_page_mode          "true"
38
#@ set sh_source_uses_search_path   "true"
39
#@ if { [string compare $dc_shell_mode "tcl"] == 0 } {
40
#@  set sh_command_log_file          "./command.log"
41
#@ }
42
#@
43
#@
44
#@
45
#@ # Enable debug output on fatal
46
#@ if { $sh_arch == "sparc" || $sh_arch == "sparcOS5" ||      $sh_arch == "hp700" || $sh_arch == "hpux10"   ||      $sh_arch == "linux" } {
47
#@    setenv SYNOPSYS_TRACE ""
48
#@ }
49
#@
50
#@ #
51
#@ # Load the procedures which make up part of the user interface.
52
#@ #
53
#@ if { [string compare $dc_shell_mode "tcl"] == 0 } {
54
#@   source $synopsys_root/auxx/syn/.dc_common_procs.tcl
55
#@   source $synopsys_root/auxx/syn/.dc_procs.tcl
56
#@   alias list_commands help
57
#@ }
58
#@ ##############################################################################
59
#@ #
60
#@ #
61
#@ # FILE:         auxx/syn/.dc_common_procs.tcl
62
#@ #
63
#@ # ABSTRACT:     These procedures are part of the PrimeTime and DC
64
#@ #               user interface.
65
#@ #               They are loaded by .synopsys_pt.setup and .synopsys_dc.setup.
66
#@ #
67
#@ ##############################################################################
68
#@ #
69
#@ #
70
#@
71
#@
72
#@ ##############################################################################
73
#@ #
74
#@ #
75
#@ #  PROCEDURE:   group_variable
76
#@ #
77
#@ #  ABSTRACT:    Add a variable to the specified variable group.
78
#@ #               This command is typically used by the system
79
#@ #               administrator only.
80
#@ #
81
#@ #               Below the proc is the command which creates the command
82
#@ #               help information and semantic data for the argument.
83
#@ #
84
#@ #  RETURNS:     1 if it is successful.
85
#@ #               error code if the variable does not exist.
86
#@ #               error code of the variable is already in the group.
87
#@ #
88
#@ #  SYNTAX:      group_variable group_name variable_name
89
#@ #
90
#@ ##############################################################################
91
#@ #
92
#@
93
#@
94
#@ proc group_variable { args } {
95
#@   global _Variable_Groups
96
#@
97
#@   parse_proc_arguments -args $args resarr
98
#@   set group $resarr(group)
99
#@   set var $resarr(variable_name)
100
#@
101
#@   if { ![info exists _Variable_Groups($group)] } {
102
#@    set _Variable_Groups($group) ""
103
#@   }
104
#@
105
#@   # Verify that var exists as a global variable
106
#@
107
#@   set cmd "uplevel #0 \{info exists $var\}"
108
#@   if { ![eval $cmd] } {
109
#@     return -code error "Variable '$var' is not defined."
110
#@   }
111
#@
112
#@   # Only add it if it's not already there
113
#@
114
#@   if { [lsearch $_Variable_Groups($group) $var] == -1 } {
115
#@     lappend _Variable_Groups($group) $var
116
#@   }
117
#@
118
#@   return 1
119
#@ }
120
#@
121
#@ define_proc_attributes group_variable     -info "Add a variable to a variable group"      -command_group "Builtins" -permanent -dont_abbrev     -define_args {
122
#@       {group "Variable group name" group}
123
#@       {variable_name "Variable name" variable_name}}
124
#@
125
#@ ##############################################################################
126
#@ #
127
#@ #
128
#@ #  PROCEDURE:   print_variable_group
129
#@ #
130
#@ #  ABSTRACT:    Shows variables and their values defined in the given group.
131
#@
132
#@ #
133
#@ #               Below the proc is the command which creates the command
134
#@ #               help information and semantic data for the argument.
135
#@ #
136
#@ #  RETURNS:     1 if it is successful.
137
#@ #               error code of the variable group does not exist.
138
#@ #
139
#@ #  SYNTAX:      print_variable_group group_name
140
#@ #
141
#@ ##############################################################################
142
#@ #
143
#@
144
#@ proc print_variable_group { args } {
145
#@   global _Variable_Groups
146
#@
147
#@   parse_proc_arguments -args $args resarr
148
#@   set group $resarr(group)
149
#@
150
#@   if { [string compare $group "all"] == 0 } {
151
#@     set cmd "uplevel #0 \{printvar\}"
152
#@     return [eval $cmd]
153
#@   }
154
#@
155
#@   if { ![info exists _Variable_Groups($group)] } {
156
#@     return -code error "Variable group '$group' does not exist."
157
#@   }
158
#@
159
#@   # Print out each global variable in the list. To be totally bulletproof,
160
#@   # test that each variable in the group is still defined.  If not, remove
161
#@   # it from the list.
162
#@
163
#@   foreach var [lsort $_Variable_Groups($group)] {
164
#@     set cmd "uplevel #0 \{info exists $var\}"
165
#@     if { ![eval $cmd] } {
166
#@       # Remove it
167
#@       set n [lsearch $_Variable_Groups($group) $var]
168
#@       set $_Variable_Groups($group) [lreplace $_Variable_Groups($group) $n $n]
169
#@     } else {
170
#@       # Print it.
171
#@       set cmd "uplevel #0 \{set $var\}"
172
#@       set val [eval $cmd]
173
#@       echo [format "%-25s = \"%s\"" $var $val]
174
#@     }
175
#@   }
176
#@
177
#@   return 1
178
#@ }
179
#@
180
#@ define_proc_attributes print_variable_group     -info "Print the contents of a variable group"      -command_group "Builtins" -permanent      -define_args {{group "Variable group name" group}}
181
#@
182
#@
183
#@
184
#@ ##############################################################################
185
#@ #
186
#@ #
187
#@ #  PROCEDURE:   _Variable_Groups_Get_Groups
188
#@ #
189
#@ #  ABSTRACT:    Return a list of all variable groups. This command is hidden
190
#@ #               and is used by Design Vision.
191
#@ #
192
#@ #   RETURNS:    Tcl list of all variable groups including group all
193
#@ #
194
#@ #  SYNTAX:      _Variable_Groups_Get_Groups
195
#@ ##############################################################################
196
#@ #
197
#@
198
#@ proc _Variable_Groups_Get_Groups { } {
199
#@    global _Variable_Groups
200
#@
201
#@    set groups  [array names _Variable_Groups]
202
#@    append groups " all"
203
#@    return $groups
204
#@ }
205
#@ define_proc_attributes _Variable_Groups_Get_Groups -hidden
206
#@
207
#@
208
#@ ##############################################################################
209
#@ #
210
#@ #
211
#@ #  PROCEDURE:   _Variable_Groups_Get_Variables_Of_Group
212
#@ #
213
#@ #  ABSTRACT:    Return a list of all variables of a variable group.
214
#@ #               It also works for pseudo group all.
215
#@ #
216
#@ #   RETURNS:    Tcl list of all variables of a variable group including
217
#@ #               pseudo group all
218
#@ #
219
#@ #  SYNTAX:      _Variable_Groups_Get_Groups
220
#@ ##############################################################################
221
#@ #
222
#@
223
#@ proc _Variable_Groups_Get_Variables_Of_Group { group } {
224
#@   global _Variable_Groups
225
#@
226
#@   if { [string compare $group "all"] == 0 } {
227
#@     set itr [array startsearch _Variable_Groups]
228
#@     for {  } { [array anymore _Variable_Groups $itr]} { } {
229
#@       set index [array nextelement _Variable_Groups $itr]
230
#@       append vars $_Variable_Groups($index)
231
#@     }
232
#@     array donesearch _Variable_Groups $itr
233
#@     return $vars
234
#@   }
235
#@
236
#@   if { ![info exists _Variable_Groups($group)] } {
237
#@     return -code error "Variable group '$group' does not exist."
238
#@   }
239
#@
240
#@   # Test if all variables in the list of variables are still defined.
241
#@   # Remove not existing variables.
242
#@   foreach var [lsort $_Variable_Groups($group)] {
243
#@     set cmd "uplevel #0 \{info exists $var\}"
244
#@     if { ![eval $cmd] } {
245
#@       # Remove it
246
#@       set n [lsearch $_Variable_Groups($group) $var]
247
#@       set $_Variable_Groups($group) [lreplace $_Variable_Groups($group) $n $n]
248
#@     }
249
#@   }
250
#@   return $_Variable_Groups($group)
251
#@ }
252
#@ define_proc_attributes _Variable_Groups_Get_Variables_Of_Group -hidden
253
#@
254
#@ # -- End source /usr/synopsys/synthesis/auxx/syn/.dc_common_procs.tcl
255
 
256
#@ ##############################################################################
257
#@ #
258
#@ #
259
#@ # FILE:         auxx/syn/.dc_procs.tcl
260
#@ #
261
#@ # ABSTRACT:     These procedures are part of the Design Compiler Tcl
262
#@ #               user interface.
263
#@ #               They are loaded by .synopsys_dc.setup.
264
#@ #
265
#@ ##############################################################################
266
#@ #
267
#@ #
268
#@
269
#@ ##############################################################################
270
#@ #
271
#@ #
272
#@ #  PROCEDURE:  read_verilog
273
#@ #
274
#@ #  ABSTRACT:   Emulate PT's read_verilog command in DC:
275
#@ #
276
#@ #  Usage:      read_verilog         #  Read one or more verilog files
277
#@ #                *[-hdl_compiler]       (Use HDL Compiler (ignored))
278
#@ #                file_names             (Files to read)
279
#@ #
280
#@ #  Modified: Bharat 11/17/99. Use uplevel to ensure that the command
281
#@ #            sees user/hidden variables from the top level. Star 92970.
282
#@ #
283
#@ ##############################################################################
284
#@ #
285
#@
286
#@ proc read_verilog { args } {
287
#@   parse_proc_arguments -args $args ra
288
#@
289
#@   set cmd [format {read_file -format verilog  [list %s]} $ra(file_names)]
290
#@   return [uplevel #0 $cmd]
291
#@ }
292
#@
293
#@ define_proc_attributes read_verilog     -info " Read one or more verilog files"     -permanent     -define_args {
294
#@       {file_names "Files to read" file_names list required}
295
#@    {-hdl_compiler "Use HDL Compiler (ignored)" "" boolean {hidden optional}}
296
#@ }
297
#@
298
#@
299
#@ ##############################################################################
300
#@ #
301
#@ #
302
#@ #  PROCEDURE: read_vhdl
303
#@ #
304
#@ #  ABSTRACT:  Emulate PT's read_vhdl command in DC:
305
#@ #
306
#@ #  Usage:     read_vhdl            #  Read one or more vhdl files
307
#@ #               file_names             (Files to read)
308
#@ #
309
#@ #
310
#@ ##############################################################################
311
#@ #
312
#@
313
#@ proc read_vhdl { args } {
314
#@   parse_proc_arguments -args $args ra
315
#@
316
#@   set cmd [format {read_file -format vhdl  [list %s]} $ra(file_names)]
317
#@   return [uplevel #0 $cmd]
318
#@ }
319
#@
320
#@ define_proc_attributes read_vhdl     -info " Read one or more vhdl files"     -permanent     -define_args {
321
#@       {file_names "Files to read" file_names list required}}
322
#@
323
#@ ##############################################################################
324
#@ #
325
#@ #
326
#@ #  PROCEDURE:   read_db
327
#@ #
328
#@ #  ABSTRACT:    Emulate PT's read_db command in DC:
329
#@ #
330
#@ #  Usage:
331
#@ #     read_db              #  Read one or more db files
332
#@ #       *[-netlist_only]       (Do not read any attributes from db (ignored))
333
#@ #       *[-library]            (File is a library DB (ignored))
334
#@ #      file_names             (Files to read)
335
#@ #
336
#@ #
337
#@ ##############################################################################
338
#@ #
339
#@
340
#@ proc read_db { args } {
341
#@   parse_proc_arguments -args $args ra
342
#@
343
#@   set cmd [format {read_file -format db  [list %s]} $ra(file_names)]
344
#@   return [uplevel #0 $cmd]
345
#@ }
346
#@
347
#@ define_proc_attributes read_db     -info " Read one or more db files"     -permanent     -define_args {
348
#@       {file_names "Files to read" file_names list required}
349
#@       {-netlist_only "Do not read any attributes from db (ignored)" "" boolean {hidden optional}}
350
#@       {-library "File is a library DB (ignored)" "" boolean {hidden optional}}
351
#@      }
352
#@
353
#@ ##############################################################################
354
#@ #
355
#@ #
356
#@ #  PROCEDURE:   read_edif
357
#@ #
358
#@ #  ABSTRACT:    Emulate PT's read_edif command in DC:
359
#@ #
360
#@ #  Usage:
361
#@ #      read_edif            #  Read one or more edif files
362
#@ #        *[-complete_language]  (Use ptxr to read the file (ignored))
363
#@ #        file_names             (Files to read)
364
#@ #
365
#@ #
366
#@ ##############################################################################
367
#@ #
368
#@ proc read_edif { args } {
369
#@   parse_proc_arguments -args $args ra
370
#@
371
#@   set cmd [format {read_file -format edif  [list %s]} $ra(file_names)]
372
#@   return [uplevel #0 $cmd]
373
#@ }
374
#@
375
#@ define_proc_attributes read_edif     -info " Read one or more edif files"     -permanent     -define_args {
376
#@       {file_names "Files to read" file_names list required}
377
#@       {-complete_language "Use ptxr to read the file (ignored)" "" boolean {hidden optional}}
378
#@ }
379
#@
380
#@ # -- End source /usr/synopsys/synthesis/auxx/syn/.dc_procs.tcl
381
 
382
#@
383
#@ # Temporary fix for the LMC_HOME variable- set it to an empty string
384
#@
385
#@ if { [catch {getenv LMC_HOME } __err ] != 0 } {
386
#@   setenv LMC_HOME ""
387
#@ }
388
#@
389
#@
390
#@ #
391
#@ #
392
#@ #       Site-Specific Variables
393
#@ #
394
#@ #       These are the variables that are most commonly changed at a
395
#@ #       specific site, either upon installation of the Synopsys software,
396
#@ #       or by specific engineers in their local .synopsys files.
397
#@ #
398
#@ #
399
#@
400
#@ # from the System Variable Group
401
#@ set link_force_case  "check_reference"
402
#@ set link_library  { * umce13h210t3_tc_120V_25C.db }
403
#@ set physical_library ""
404
#@
405
#@ set search_path [list . ${synopsys_root}/libraries/syn ${synopsys_root}/dw/sim_ver /usr/synopsys/libraries/umc/]
406
#@ set target_library umce13h210t3_tc_120V_25C.db
407
#@ set synthetic_library  ""
408
#@ set command_log_file  "./command.log"
409
#@ set designer  ""
410
#@ set company  ""
411
#@ set find_converts_name_lists  "false"
412
#@
413
#@ set symbol_library umce13h210t3.sdb
414
#@
415
#@ # from the Schematic Variable Group
416
#@
417
#@ # from the Plot Variable Group
418
#@ if { $sh_arch == "hp700" } {
419
#@   set plot_command "lp -d"
420
#@ } else {
421
#@   set plot_command "lpr -Plw"
422
#@ }
423
#@
424
#@ set view_command_log_file  "./view_command.log"
425
#@
426
#@ # from the View Variable group
427
#@ if { $sh_arch == "hp700" } {
428
#@    set text_print_command  "lp -d"
429
#@ } else {
430
#@    set text_print_command  "lpr -Plw"
431
#@ }
432
#@ #
433
#@ #       System Variable Group:
434
#@ #
435
#@ #       These variables are system-wide variables.
436
#@ #
437
#@ set arch_init_path ${synopsys_root}/${sh_arch}/motif/syn/uid
438
#@ set auto_link_disable  "false"
439
#@ set auto_link_options  "-all"
440
#@ set uniquify_naming_style  "%s_%d"
441
#@ set verbose_messages  "true"
442
#@ set echo_include_commands  "true"
443
#@ set preserve_subshells  "hdl_shell_exec"
444
#@ set suppress_errors  {PWR-18 OPT-932 OPT-317}
445
#@ set change_names_update_inst_tree   "true"
446
#@ set change_names_dont_change_bus_members false
447
#@ set default_name_rules ""
448
#@
449
#@ #
450
#@ #       Compile Variable Group:
451
#@ #
452
#@ #       These variables affect the designs created by the COMPILE command.
453
#@ #
454
#@ set compile_assume_fully_decoded_three_state_busses   "false"
455
#@ set compile_automatic_clock_phase_inference    "strict"
456
#@ set compile_no_new_cells_at_top_level   "false"
457
#@ set compile_dont_touch_annotated_cell_during_inplace_opt   "false"
458
#@ set compile_update_annotated_delays_during_inplace_opt  "true"
459
#@ set compile_instance_name_prefix   "U"
460
#@ set compile_instance_name_suffix   ""
461
#@ set compile_negative_logic_methodology   "false"
462
#@ set compile_disable_hierarchical_inverter_opt   "false"
463
#@ set compile_use_fast_delay_mode   "true"
464
#@ set compile_use_low_timing_effort   "false"
465
#@ set compile_new_boolean_structure   "false"
466
#@ set compile_fix_cell_degradation   "false"
467
#@ set compile_preserve_subdesign_interfaces   "false"
468
#@ set compile_sequential_area_recovery   "false"
469
#@ set port_complement_naming_style   "%s_BAR"
470
#@ set compile_implementation_selection   "true"
471
#@ set compile_mux_no_boundary_optimization   "false"
472
#@ set compile_create_mux_op_hierarchy   "true"
473
#@ set compile_delete_unloaded_sequential_cells   "true"
474
#@ set reoptimize_design_changed_list_file_name   ""
475
#@ set compile_checkpoint_filename "./CHECKPOINT.db"
476
#@ set compile_checkpoint_cpu_interval 0.0
477
#@ set compile_checkpoint_phases "false"
478
#@ set compile_checkpoint_pre_delay_filename "./CHECKPOINT_PRE_DELAY.db"
479
#@ set compile_checkpoint_pre_drc1_filename "./CHECKPOINT_PRE_DRC1.db"
480
#@ set compile_checkpoint_pre_drc2_filename "./CHECKPOINT_PRE_DRC2.db"
481
#@ set compile_checkpoint_pre_area_filename "./CHECKPOINT_PRE_AREA.db"
482
#@ set compile_cpu_limit 0.0
483
#@ set compile_log_format "  %elap_time %area %wns %tns %drc %endpoint";
484
#@ set compile_top_all_paths   "false"
485
#@ set default_port_connection_class "universal"
486
#@ set compile_dw_simple_mode "false"
487
#@ set compile_simple_mode_block_effort "none"
488
#@ set compile_hold_reduce_cell_count "false"
489
#@ set compile_new_optimization "false"
490
#@
491
#@
492
#@ set ldd_return_val 0
493
#@ if { [string compare $dc_shell_mode "default"] == 0 } {
494
#@   set ldd_script ${synopsys_root}/auxx/syn/scripts/list_duplicate_designs.dcsh
495
#@   alias list_duplicate_designs "include -quiet ldd_script; dc_shell_status = ldd_return_val "
496
#@
497
#@ }
498
#@ if { [string compare $dc_shell_mode "tcl"] == 0 } {
499
#@   set ldd_script ${synopsys_root}/auxx/syn/scripts/list_duplicate_designs.tcl
500
#@   alias list_duplicate_designs "source $ldd_script; set dc_shell_status $ldd_return_val "
501
#@ }
502
#@
503
#@
504
#@ set compile_log_format "  %elap_time %area %wns %tns %drc %endpoint";
505
#@
506
#@ set compile_top_all_paths "false"
507
#@ alias compile_inplace_changed_list_file_name                 reoptimize_design_changed_list_file_name
508
#@ #
509
#@ #  These variables affects compile, report_timing and report_constraints
510
#@ #  commands.
511
#@ #
512
#@ set enable_recovery_removal_arcs  "false"
513
#@ set timing_report_attributes {dont_touch dont_use map_only size_only ideal_net}
514
#@
515
#@ #
516
#@ #       Multibit Variable Group:
517
#@ #
518
#@ #       These variables affect the multibit mapping functionality
519
#@ #
520
#@
521
#@ set bus_multiple_separator_style  ","
522
#@
523
#@ #
524
#@ #       Estimator Variable Group:
525
#@ #
526
#@ #       These variables affect the designs created by the ESTIMATE command.
527
#@ #
528
#@ set estimate_resource_preference  "fast"
529
#@ alias est_resource_preference estimate_resource_preference
530
#@ set lbo_lfo_enable_at_pin_count   3
531
#@ set lbo_cells_in_regions   "false"
532
#@
533
#@ #     Synthetic Library Group:
534
#@ #
535
#@ #       These variable affect synthetic library processing.
536
#@ #
537
#@ set cache_dir_chmod_octal   "777"
538
#@ set cache_file_chmod_octal  "666"
539
#@ set cache_read   "~"
540
#@ set cache_read_info  "false"
541
#@ set cache_write  "~"
542
#@ set cache_write_info   "false"
543
#@ set dw_prefer_mc_inside "false"
544
#@ set mgi_scratch_directory "designware_generator"
545
#@ set synlib_disable_limited_licenses   "true"
546
#@ set synlib_dont_get_license  {}
547
#@ set synlib_evaluation_mode  "false"
548
#@ set synlib_library_list   {DW01 DW02 DW03 DW04 DW05 DW06 DW07 DW08}
549
#@ set synlib_model_map_effort  "medium"
550
#@ set synlib_optimize_non_cache_elements  "true"
551
#@ set synlib_prefer_ultra_license "false"
552
#@ set synlib_sequential_module   "default"
553
#@ set synlib_wait_for_design_license {}
554
#@
555
#@ #
556
#@ #       Insert_DFT Variable Group:
557
#@ #
558
#@ set test_default_client_order [list]
559
#@ set test_point_keep_hierarchy "false"
560
#@ set insert_dft_clean_up "true"
561
#@ set insert_test_design_naming_style  "%s_test_%d"
562
#@ # /*insert_test_scan_chain_only_one_clock = "false"
563
#@ # Replace by command line option (star 17215) -- Denis Martin 28-Jan-93*/
564
#@ set test_clock_port_naming_style  "test_c%s"
565
#@ set test_scan_clock_a_port_naming_style  "test_sca%s"
566
#@ set test_scan_clock_b_port_naming_style  "test_scb%s"
567
#@ set test_scan_clock_port_naming_style   "test_sc%s"
568
#@ set test_scan_enable_inverted_port_naming_style   "test_sei%s"
569
#@ set test_scan_enable_port_naming_style   "test_se%s"
570
#@ set test_scan_in_port_naming_style   "test_si%s%s"
571
#@ set test_scan_out_port_naming_style  "test_so%s%s"
572
#@ set test_non_scan_clock_port_naming_style  "test_nsc_%s"
573
#@ set test_default_min_fault_coverage  95
574
#@ set test_dedicated_subdesign_scan_outs  "true"
575
#@ set test_disable_find_best_scan_out  "false"
576
#@ set test_dont_fix_constraint_violations  "false"
577
#@ set test_isolate_hier_scan_out  0
578
#@ set test_mode_port_naming_style  "test_mode%s"
579
#@ set test_mode_port_inverted_naming_style  "test_mode_i%s"
580
#@ set compile_dont_use_dedicated_scanout 1
581
#@ set test_mux_constant_so "false"
582
#@
583
#@ #
584
#@ #        Analyze_Scan Variable Group:
585
#@ #
586
#@ #     These variables affect the designs created by the PREVIEW_SCAN command.
587
#@ #
588
#@ set test_preview_scan_shows_cell_types  "false"
589
#@ set test_scan_link_so_lockup_key "l"
590
#@ set test_scan_link_wire_key  "w"
591
#@ set test_scan_segment_key  "s"
592
#@ set test_scan_true_key  "t"
593
#@ set test_jump_over_bufs_invs "true"
594
#@
595
#@ #
596
#@ #        bsd Variable Group:
597
#@
598
#@ #        These variables affect the report generated by the check_bsd command
599
#@ #        and the BSDLout generated by the write_bsdl command.
600
#@ #
601
#@ set test_user_test_data_register_naming_style  "UTDR%d"
602
#@
603
#@ set test_user_defined_instruction_naming_style  "USER%d"
604
#@
605
#@ set test_bsdl_default_suffix_name  "bsdl"
606
#@
607
#@ set test_bsdl_max_line_length  80
608
#@
609
#@ set test_cc_ir_masked_bits 0
610
#@
611
#@ set test_cc_ir_value_of_masked_bits 0
612
#@
613
#@ set test_bsd_allow_tolerable_violations "false"
614
#@ set test_bsd_optimize_control_cell "false"
615
#@ set test_bsd_control_cell_drive_limit 0
616
#@ set test_bsd_manufacturer_id 0
617
#@ set test_bsd_part_number 0
618
#@ set test_bsd_version_number 0
619
#@
620
#@
621
#@ #
622
#@ #        TestManager Variable Group:
623
#@ #
624
#@ #        These variables affect the TestManager methodology.
625
#@ #
626
#@ set multi_pass_test_generation  "false"
627
#@
628
#@ #
629
#@ #        TestSim Variable Group:
630
#@ #
631
#@ #        These variables affect the TestSim behavior.
632
#@ #
633
#@ # set testsim_print_stats_file  "true"
634
#@
635
#@ #      Test DRC Variable Group:
636
#@ #
637
#@ #        These variables affect the check_test command.
638
#@ #
639
#@ set test_capture_clock_skew  "small_skew"
640
#@ set test_allow_clock_reconvergence  "true"
641
#@ set test_check_port_changes_in_capture  "true"
642
#@ set test_infer_slave_clock_pulse_after_capture "infer"
643
#@
644
#@ #
645
#@ #       Test Variable Group:
646
#@ #
647
#@ #       These variables affect the rtldrc, check_test, write_test_protocol
648
#@ #       and write_test command.
649
#@ #
650
#@ set test_default_delay  5.0
651
#@ set test_default_bidir_delay  55.0
652
#@ set test_default_strobe  95.0
653
#@ set test_default_strobe_width  0.0
654
#@ set test_default_period  100.0
655
#@ set test_default_scan_style  "multiplexed_flip_flop"
656
#@ set test_stil_netlist_format "db"
657
#@ set test_stil_multiclock_capture_procedures "false"
658
#@ set test_stil_max_line_length 72
659
#@ set test_write_four_cycle_stil_protocol "false"
660
#@ set test_protocol_add_cycle "true"
661
#@ set test_rtldrc_latch_check_style "default"
662
#@
663
#@ #
664
#@ #       JTAG variable group (associated with the insert_jtag command):
665
#@ #
666
#@ #       These variables are associated with JTAG synthesis.
667
#@ #
668
#@ set jtag_port_drive_limit  6
669
#@ set jtag_manufacturer_id  0
670
#@ set jtag_version_number  0
671
#@ set jtag_part_number  65535
672
#@ set jtag_test_data_in_port_naming_style  "jtag_tdi%s"
673
#@ set jtag_test_data_out_port_naming_style  "jtag_tdo%s"
674
#@ set jtag_test_mode_select_port_naming_style  "jtag_tms%s"
675
#@ set jtag_test_clock_port_naming_style  "jtag_tck%s"
676
#@ set jtag_test_reset_port_naming_style   "jtag_trst%s"
677
#@
678
#@
679
#@
680
#@ #
681
#@ #       Create_Test_Patterns Variable Group:
682
#@ #
683
#@ #       These variables affect the create_test_patterns command.
684
#@ #
685
#@ # From Peace on, the "atpg_test_asynchronous_pins" is obsolete
686
#@ # set atpg_test_asynchronous_pins  "true"
687
#@
688
#@ set atpg_bidirect_output_only  "false"
689
#@
690
#@
691
#@ #
692
#@ #       Write_Test Variable Group:
693
#@ #
694
#@ #       These variables affect output of the WRITE_TEST command.
695
#@ #
696
#@ set write_test_input_dont_care_value  "X"
697
#@ set write_test_vector_file_naming_style  "%s_%d.%s"
698
#@ set write_test_scan_check_file_naming_style   "%s_schk.%s"
699
#@ set write_test_pattern_set_naming_style  "TC_Syn_%d"
700
#@ set write_test_max_cycles  0
701
#@ set write_test_max_scan_patterns  0
702
#@ # /*retain "tssi_ascii" (equivalent to "tds") for backward compatability */
703
#@ set write_test_formats   {synopsys tssi_ascii tds verilog vhdl wgl}
704
#@ set write_test_include_scan_cell_info  "true"
705
#@ set write_test_round_timing_values "true"
706
#@
707
#@ #
708
#@ #       Schematic and EDIF and Hdl Variable Groups:
709
#@ #
710
#@ #       These variables affect the schematics created by the
711
#@ #       create_schematic command, define the behavior of the
712
#@ #       DC system EDIF interface, and are for controlling hdl
713
#@ #       reading.
714
#@ #
715
#@ set bus_dimension_separator_style  {][}
716
#@ set bus_naming_style  {%s[%d]}
717
#@
718
#@
719
#@ #
720
#@ #       Schematic and EDIF Variable Groups:
721
#@ #
722
#@ #       These variables affect the schematics created by the
723
#@ #       create_schematic command and define the behavior of
724
#@ #       the DC system EDIF interface.
725
#@ #
726
#@ set bus_range_separator_style  ":"
727
#@
728
#@
729
#@ #
730
#@ # EDIF and Io Variable Groups:
731
#@ #
732
#@ # These variables define the behavior of the DC system EDIF interface and
733
#@ # define the behavior of the DC system interfaces, i.e. LSI, Mentor, TDL, SGE,# etc.
734
#@
735
#@ set bus_inference_descending_sort  "true"
736
#@ set bus_inference_style  ""
737
#@ set write_name_nets_same_as_ports  "false"
738
#@ #
739
#@ #       Schematic Variable Group:
740
#@ #
741
#@ #       These variables affect the schematics created by the
742
#@ #       create_schematic command.
743
#@ #
744
#@ set font_library  "1_25.font"
745
#@ set generic_symbol_library  "generic.sdb"
746
#@ set gen_max_ports_on_symbol_side  0
747
#@ set duplicate_ports   "false"
748
#@ set sheet_sizes  {A B C D E infinite mentor_maximum sge_maximum}
749
#@ set single_group_per_sheet  "false"
750
#@ set use_port_name_for_oscs  "true"
751
#@ set gen_bussing_exact_implicit  "false"
752
#@ set gen_cell_pin_name_separator  "/"
753
#@ set gen_max_compound_name_length  256
754
#@ set gen_show_created_symbols  "false"
755
#@ set gen_match_ripper_wire_widths  "false"
756
#@ set gen_show_created_busses  "false"
757
#@ set gen_dont_show_single_bit_busses  "false"
758
#@ set gen_single_osc_per_name  "false"
759
#@ set gen_create_netlist_busses  "true"
760
#@ # This setting will be overwritten to true below when using
761
#@ # Design Vision or Psyn Gui
762
#@ set sort_outputs  "false"
763
#@ if { ( [string compare $synopsys_program_name "design_vision"] == 0 ) ||
764
#@      ( [string compare $synopsys_program_name "psyn_gui"] == 0 ) } {
765
#@   set dv_enable_conservative_invalidate "true"
766
#@ }
767
#@ set gen_open_name_prefix  "Open"
768
#@ set gen_open_name_postfix  ""
769
#@ set default_schematic_options  "-size infinite"
770
#@ # This setting makes gen use the old way to annotate schematics for
771
#@ #   everything except sheets, which is fast enough.
772
#@ #
773
#@ set annotation_control  64
774
#@
775
#@ #
776
#@ #       Plot Variable Group:
777
#@ #
778
#@ #       These variables define the operating system and plotter
779
#@ #       interface to the Design Compiler.  These should be set at
780
#@ #       installation time, if needed, and then changed only if you
781
#@ #       start using a new type of plotter.
782
#@ #
783
#@ #       These four variables must be changed if you use a larger or
784
#@ #       smaller plotter or printer:
785
#@ #
786
#@ #       plotter_maxx, plotter_maxy, plotter_minx, plotter_miny
787
#@ #
788
#@ #       See the group of site-specific variables at the top of this
789
#@ #       file to set the name of your printer or plotter.
790
#@ #
791
#@ #
792
#@
793
#@ set plot_orientation  "best_fit"
794
#@ set plotter_maxx   584
795
#@ set plotter_maxy   764
796
#@ set plotter_minx   28
797
#@ set plotter_miny   28
798
#@ set plot_scale_factor   100
799
#@ set plot_box   "false"
800
#@
801
#@
802
#@ #
803
#@ #       Io Variable Group:
804
#@ #
805
#@ #       These variables define the behavior of the DC system
806
#@ #       interfaces, i.e. LSI, Mentor, TDL, SGE, etc.
807
#@ #
808
#@ set db2sge_output_directory  ""
809
#@ set db2sge_scale  "2"
810
#@ set db2sge_overwrite   "true"
811
#@ set db2sge_display_symbol_names  "false"
812
#@
813
#@
814
#@ set db2sge_display_pin_names  "false"
815
#@ set db2sge_display_instance_names   "false"
816
#@ set db2sge_use_bustaps   "false"
817
#@ set db2sge_use_compound_names   "true"
818
#@ set db2sge_bit_type   "std_logic"
819
#@ set db2sge_bit_vector_type   "std_logic_vector"
820
#@ set db2sge_one_name   "'1'"
821
#@ set db2sge_zero_name  "'0'"
822
#@ set db2sge_unknown_name  "'X'"
823
#@ set db2sge_target_xp  "false"
824
#@ set db2sge_tcf_package_file  "synopsys_tcf.vhd"
825
#@ set db2sge_use_lib_section  ""
826
#@ set db2sge_script  ""
827
#@ set db2sge_command  ""
828
#@ set equationout_and_sign  "*"
829
#@ set equationout_or_sign  "+"
830
#@ set equationout_postfix_negation  "true"
831
#@ set lsiin_net_name_prefix  "NET_"
832
#@ set lsiout_inverter_cell  ""
833
#@ set lsiout_upcase  "true"
834
#@ set mentor_bidirect_value  "INOUT"
835
#@ set mentor_do_path  ""
836
#@ set mentor_input_output_property_name  "PINTYPE"
837
#@ set mentor_input_value  "IN"
838
#@ set mentor_logic_one_value  "1SF"
839
#@ set mentor_logic_zero_one_property_name  "INIT"
840
#@ set mentor_logic_zero_value  "0SF"
841
#@ set mentor_output_value  "OUT"
842
#@ set mentor_primitive_property_name  "PRIMITIVE"
843
#@ set mentor_primitive_property_value  "MODULE"
844
#@ set mentor_reference_property_name  "COMP"
845
#@ set mentor_search_path   ""
846
#@ set mentor_write_symbols   "true"
847
#@ set pla_read_create_flip_flop   "false"
848
#@ set tdlout_upcase   "true"
849
#@ set xnfout_constraints_per_endpoint   "50"
850
#@ set xnfout_default_time_constraints   true
851
#@ set xnfout_clock_attribute_style   "CLK_ONLY"
852
#@ set xnfout_library_version  ""
853
#@ set xnfin_family   "4000"
854
#@ set xnfin_ignore_pins   "GTS GSR GR"
855
#@ set xnfin_dff_reset_pin_name             "RD"
856
#@ set xnfin_dff_set_pin_name               "SD"
857
#@ set xnfin_dff_clock_enable_pin_name      "CE"
858
#@ set xnfin_dff_data_pin_name              "D"
859
#@ set xnfin_dff_clock_pin_name             "C"
860
#@ set xnfin_dff_q_pin_name                 "Q"
861
#@
862
#@
863
#@ #
864
#@ #       EDIF Variable Group:
865
#@ #
866
#@ #       These variables define the behavior of the DC system
867
#@ #       EDIF interface.
868
#@ #
869
#@ set bus_extraction_style   {%s[%d:%d]}
870
#@ set edifin_autoconnect_offpageconnectors   "false"
871
#@ set edifin_autoconnect_ports   "false"
872
#@ set edifin_dc_script_flag   ""
873
#@ set edifin_delete_empty_cells   "true"
874
#@ set edifin_delete_ripper_cells   "true"
875
#@ set edifin_ground_net_name   ""
876
#@ set edifin_ground_net_property_name   ""
877
#@ set edifin_ground_net_property_value   ""
878
#@ set edifin_ground_port_name   ""
879
#@ set edifin_instance_property_name    ""
880
#@ set edifin_portinstance_disabled_property_name   ""
881
#@ set edifin_portinstance_disabled_property_value   ""
882
#@ set edifin_portinstance_property_name   ""
883
#@ set edifin_power_net_name  ""
884
#@ set edifin_power_net_property_name  ""
885
#@ set edifin_power_net_property_value  ""
886
#@ set edifin_power_port_name  ""
887
#@ set edifin_use_identifier_in_rename  "false"
888
#@ set edifin_view_identifier_property_name  ""
889
#@ set edifin_lib_logic_1_symbol  ""
890
#@ set edifin_lib_logic_0_symbol  ""
891
#@ set edifin_lib_in_port_symbol  ""
892
#@ set edifin_lib_out_port_symbol  ""
893
#@ set edifin_lib_inout_port_symbol  ""
894
#@ set edifin_lib_in_osc_symbol  ""
895
#@ set edifin_lib_out_osc_symbol  ""
896
#@ set edifin_lib_inout_osc_symbol  ""
897
#@ set edifin_lib_mentor_netcon_symbol  ""
898
#@ set edifin_lib_ripper_bits_property  ""
899
#@ set edifin_lib_ripper_bus_end  ""
900
#@ set edifin_lib_ripper_cell_name  ""
901
#@ set edifin_lib_ripper_view_name  ""
902
#@ set edifin_lib_route_grid  1024
903
#@ set edifin_lib_templates  {}
904
#@ set edifout_dc_script_flag  ""
905
#@ set edifout_design_name  "Synopsys_edif"
906
#@ set edifout_designs_library_name  "DESIGNS"
907
#@ set edifout_display_instance_names  "false"
908
#@ set edifout_display_net_names  "false"
909
#@ set edifout_external  "true"
910
#@ set edifout_external_graphic_view_name  "Graphic_representation"
911
#@ set edifout_external_netlist_view_name  "Netlist_representation"
912
#@ set edifout_external_schematic_view_name  "Schematic_representation"
913
#@ set edifout_ground_name  "logic_0"
914
#@ set edifout_ground_net_name  ""
915
#@ set edifout_ground_net_property_name  ""
916
#@ set edifout_ground_net_property_value  ""
917
#@ set edifout_ground_pin_name  "logic_0_pin"
918
#@ set edifout_ground_port_name  "GND"
919
#@ set edifout_instance_property_name   ""
920
#@ set edifout_instantiate_ports  "false"
921
#@ set edifout_library_graphic_view_name  "Graphic_representation"
922
#@ set edifout_library_netlist_view_name  "Netlist_representation"
923
#@ set edifout_library_schematic_view_name  "Schematic_representation"
924
#@ set edifout_merge_libraries  "false"
925
#@ set edifout_multidimension_arrays  "false"
926
#@ set edifout_name_oscs_different_from_ports  "false"
927
#@ set edifout_name_rippers_same_as_wires  "false"
928
#@ set edifout_netlist_only   "false"
929
#@ set edifout_no_array   "false"
930
#@ set edifout_numerical_array_members   "false"
931
#@ set edifout_pin_direction_in_value   ""
932
#@ set edifout_pin_direction_inout_value   ""
933
#@ set edifout_pin_direction_out_value   ""
934
#@ set edifout_pin_direction_property_name   ""
935
#@ set edifout_pin_name_property_name   ""
936
#@ set edifout_portinstance_disabled_property_name    ""
937
#@ set edifout_portinstance_disabled_property_value    ""
938
#@ set edifout_portinstance_property_name    ""
939
#@ set edifout_power_and_ground_representation   "cell"
940
#@ set edifout_power_name    "logic_1"
941
#@ set edifout_power_net_name   ""
942
#@ set edifout_power_net_property_name   ""
943
#@ set edifout_power_net_property_value   ""
944
#@ set edifout_power_pin_name    "logic_1_pin"
945
#@ set edifout_power_port_name    "VDD"
946
#@ set edifout_skip_port_implementations   "false"
947
#@ set edifout_target_system   ""
948
#@ set edifout_top_level_symbol   "true"
949
#@ set edifout_translate_origin   ""
950
#@ set edifout_unused_property_value   ""
951
#@ set edifout_write_attributes   "false"
952
#@ set edifout_write_constraints   "false"
953
#@ set edifout_write_properties_list   {}
954
#@ set read_name_mapping_nowarn_libraries   {}
955
#@ set write_name_mapping_nowarn_libraries    {}
956
#@
957
#@ #
958
#@ #       Hdl and Vhdlio Variable Groups:
959
#@ #
960
#@ #       These variables are for controlling hdl reading, writing,
961
#@ #       and optimizing.
962
#@ #
963
#@ set hdlin_enable_presto              "TRUE"
964
#@ set hdlin_enable_rtldrc_info         "false"
965
#@ set hdlin_enable_vpp                 "false"
966
#@ set hdlin_escape_special_names       "FALSE"
967
#@ set hdlin_allow_mixed_blocking_and_nonblocking "TRUE"
968
#@ set hdlin_auto_full_case             "TRUE"
969
#@ set hdlin_auto_parallel_case_early   "TRUE"
970
#@ set hdlin_black_box_pin_hdlc_style           "TRUE"
971
#@ set hdlin_branch_optimization                "TRUE"
972
#@ set hdlin_build_selectop_for_var_index  "FALSE"
973
#@ set hdlin_ff_always_async_set_reset  "TRUE"
974
#@ set hdlin_ff_always_sync_set_reset   "FALSE"
975
#@ set hdlin_generate_naming_style      "%s_%d"
976
#@ set hdlin_generate_separator_style   "_"
977
#@ set hdlin_group_selectors            "TRUE"
978
#@ set hdlin_hide_resource_line_numbers "FALSE"
979
#@ set hdlin_infer_block_local_latches  "TRUE"
980
#@ set hdlin_infer_comparators          "TRUE"
981
#@ set hdlin_infer_decoders             "FALSE"
982
#@ set hdlin_infer_enumerated_types     "FALSE"
983
#@ set hdlin_infer_function_local_latches       "FALSE"
984
#@ set hdlin_infer_multibit             "default_none"
985
#@ set hdlin_infer_mux                  "default"
986
#@ set hdlin_keep_feedback              "FALSE"
987
#@ set hdlin_keep_inv_feedback          "TRUE"
988
#@ set hdlin_latch_always_async_set_reset       "FALSE"
989
#@ set hdlin_link_design                "FALSE"
990
#@ set hdlin_loop_invariant_code_motion "TRUE"
991
#@ set hdlin_map_to_entity              "TRUE"
992
#@ set hdlin_map_to_module              "TRUE"
993
#@ set hdlin_map_to_operator            "TRUE"
994
#@ set hdlin_merge_nested_conditional_statements        "false"
995
#@ set hdlin_module_arch_name_splitting "FALSE"
996
#@ set hdlin_mux_oversize_ratio         100
997
#@ set hdlin_mux_size_limit             32
998
#@ set hdlin_mux_size_min               2
999
#@ set hdlin_netlist_transform          "TRUE"
1000
#@ set hdlin_no_adder_feedthroughs      "TRUE"
1001
#@ set hdlin_no_sequential_mapping      "FALSE"
1002
#@ set hdlin_one_hot_one_cold_on        "TRUE"
1003
#@ set hdlin_optimize_array_references  "TRUE"
1004
#@ set hdlin_optimize_case_default      "TRUE"
1005
#@ set hdlin_optimize_enum_types        "FALSE"
1006
#@ set hdlin_optimize_shift_expressions "TRUE"
1007
#@ set hdlin_optimize_slice_op          "TRUE"
1008
#@ set hdlin_preserve_vpp_files         "false"
1009
#@ set hdlin_print_modfiles             "FALSE"
1010
#@ set hdlin_redundancy_elimination     "TRUE"
1011
#@ set hdlin_reg_report_length          60
1012
#@ set hdlin_register_report_depth      3
1013
#@ set hdlin_replace_synthetic          "FALSE"
1014
#@ set hdlin_report_enumerated_types    "TRUE"
1015
#@ set hdlin_report_inferred_modules    "true"
1016
#@ set hdlin_report_mux_op              "TRUE"
1017
#@ set hdlin_report_syn_cell            "FALSE"
1018
#@ set hdlin_report_tri_state           "TRUE"
1019
#@ set hdlin_selector_simplify_effort   1
1020
#@ set hdlin_seqmap_search_depth        3
1021
#@ set hdlin_call_stack_depth              1000
1022
#@ set hdlin_check_user_full_case               "TRUE"
1023
#@ set hdlin_check_user_parallel_case      "TRUE"
1024
#@ set hdlin_compare_const_with_gates      "TRUE"
1025
#@ set hdlin_compare_eq_with_gates              "TRUE"
1026
#@ set hdlin_prohibit_nontri_multiple_drivers  "TRUE"
1027
#@ set hdlin_decoder_max_input_width       31
1028
#@ set hdlin_decoder_min_input_width       5
1029
#@ set hdlin_decoder_min_use_percentage    90
1030
#@ set hdlin_mux_size_min                       2
1031
#@ set hdlin_dyn_array_bnd_check        "FALSE"
1032
#@ set hdlin_share_all_operators        "FALSE"
1033
#@ set hdlin_subprogram_default_values  "FALSE"
1034
#@ set hdlin_template_naming_style_variable     "%s_%p"
1035
#@ set hdlin_template_parameter_style_variable  "%s%d"
1036
#@ set hdlin_template_separator_style_variable  "_"
1037
#@ set hdlin_array_instance_naming_style {%s[%d]}
1038
#@ set hdlin_translate_off_on           "TRUE"
1039
#@ set hdlin_translate_off_skip_text    "false"
1040
#@ set hdlin_unsigned_rem               "TRUE"
1041
#@ set hdlin_upcase_names               "FALSE"
1042
#@ set hdlin_use_carry_in               "FALSE"
1043
#@ set hdlin_use_syn_shifter            "FALSE"
1044
#@ set hdlin_verbose_cell_naming        "FALSE"
1045
#@ set hdlin_vhdl93_concat              "true"
1046
#@ set hdlin_vhdl_93                    "TRUE"
1047
#@ set hdlin_vpp_temporary_directory    ""
1048
#@ set hdlin_vrlg_std                   2000
1049
#@ set hdlin_warn_array_bound           "TRUE"
1050
#@ set hdlin_warn_implicit_wires        "TRUE"
1051
#@ set hdlin_warn_mixed_blocking_and_nonblocking        "TRUE"
1052
#@ set hdlin_warn_sens_list             "TRUE"
1053
#@ set hdlin_while_loop_iterations      1000
1054
#@ set hdlin_work_directory             ""
1055
#@
1056
#@ set hdlin_advisor_directory   "."
1057
#@ set bus_minus_style   "-%d"
1058
#@ set hdlin_write_gtech_design_directory   "."
1059
#@ set hdlin_enable_analysis_info   "false"
1060
#@ set hdlin_hide_resource_line_numbers   FALSE
1061
#@ set hdlin_reg_report_length   60
1062
#@ set hdlin_auto_save_templates   FALSE
1063
#@ set hdlin_replace_synthetic   FALSE
1064
#@ set hdlin_dont_check_param_width FALSE
1065
#@ set hdlin_latch_always_async_set_reset   FALSE
1066
#@ set hdlin_ff_always_sync_set_reset   FALSE
1067
#@ set hdlin_ff_always_async_set_reset   TRUE
1068
#@ set hdlin_check_no_latch   FALSE
1069
#@ set hdlin_report_inferred_modules   "true"
1070
#@ set hdlin_reg_report_length   60
1071
#@ set hdlin_translate_off_skip_text   false
1072
#@ set hdlin_keep_feedback   FALSE
1073
#@ set hdlin_keep_inv_feedback   TRUE
1074
#@ set hdlin_infer_mux   "default"
1075
#@ set hdlin_merge_nested_conditional_statements   false
1076
#@ set hdlin_dont_infer_mux_for_resource_sharing   "true"
1077
#@ set hdlin_mux_oversize_ratio   100
1078
#@ set hdlin_mux_size_limit   32
1079
#@ set hdlin_infer_multibit   "default_none"
1080
#@ set hdlin_enable_vpp   false
1081
#@ set hdlin_preserve_vpp_files   false
1082
#@ set hdlin_vpp_temporary_directory   ""
1083
#@ set hdlin_vhdl93_concat      "true"
1084
#@ set hdlin_enable_rtldrc_info "false"
1085
#@ set hdl_preferred_license   ""
1086
#@ set hdl_keep_licenses   "true"
1087
#@ set hlo_resource_allocation   "constraint_driven"
1088
#@ set hlo_transform_constant_multiplication   "false"
1089
#@ set hlo_minimize_tree_delay   true
1090
#@ set hlo_resource_implementation   "use_fastest"
1091
#@ set hlo_share_common_subexpressions   true
1092
#@ set hlo_share_effort   low
1093
#@ set hlo_ignore_priorities   false
1094
#@ set sdfout_top_instance_name   ""
1095
#@ set sdfout_time_scale   1.0
1096
#@ set sdfout_min_rise_net_delay   0.
1097
#@ set sdfout_min_fall_net_delay   0.
1098
#@ set sdfout_min_rise_cell_delay   0.
1099
#@ set sdfout_min_fall_cell_delay   0.
1100
#@ set sdfout_write_to_output   "false"
1101
#@ set sdfout_allow_non_positive_constraints   "false"
1102
#@ set sdfin_top_instance_name   ""
1103
#@ set sdfin_min_rise_net_delay   0.
1104
#@ set sdfin_min_fall_net_delay   0.
1105
#@ set sdfin_min_rise_cell_delay   0.
1106
#@ set sdfin_min_fall_cell_delay   0.
1107
#@ set sdfin_rise_net_delay_type   "maximum"
1108
#@ set sdfin_fall_net_delay_type   "maximum"
1109
#@ set sdfin_rise_cell_delay_type   "maximum"
1110
#@ set sdfin_fall_cell_delay_type   "maximum"
1111
#@ set site_info_file ${synopsys_root}/admin/license/site_info
1112
#@ if { [string compare $dc_shell_mode "tcl"] == 0 } {
1113
#@   alias site_info sh cat $site_info_file
1114
#@ } else {
1115
#@   alias site_info "sh cat site_info_file"
1116
#@ }
1117
#@ set hdl_naming_threshold   20
1118
#@ set template_naming_style   "%s_%p"
1119
#@ set template_parameter_style   "%s%d"
1120
#@ set template_separator_style   "_"
1121
#@ set design_library_file   ".synopsys_vss.setup"
1122
#@ set verilogout_equation "false"
1123
#@ set verilogout_ignore_case   "false"
1124
#@ set verilogout_no_tri   "false"
1125
#@ set verilogout_single_bit   "false"
1126
#@ set verilogout_higher_designs_first   "FALSE"
1127
#@ set verilogout_levelize   "FALSE"
1128
#@ set verilogout_include_files   {}
1129
#@ set verilogout_unconnected_prefix   "SYNOPSYS_UNCONNECTED_"
1130
#@ set verilogout_show_unconnected_pins   "FALSE"
1131
#@ set verilogout_no_negative_index   "FALSE"
1132
#@ set vhdlout_architecture_name   "SYN_%a_%u"
1133
#@ set vhdlout_bit_type   "std_logic"
1134
#@ set vhdlout_bit_type_resolved   "TRUE"
1135
#@ set vhdlout_bit_vector_type   "std_logic_vector"
1136
#@ set vhdlout_conversion_functions   {}
1137
#@ set vhdlout_dont_write_types   "FALSE"
1138
#@ set vhdlout_equations   "FALSE"
1139
#@ set vhdlout_one_name   "'1'"
1140
#@ set vhdlout_package_naming_style   "CONV_PACK_%d"
1141
#@ set vhdlout_preserve_hierarchical_types   "VECTOR"
1142
#@ set vhdlout_separate_scan_in   "FALSE"
1143
#@ set vhdlout_single_bit   "USER"
1144
#@ set vhdlout_target_simulator   ""
1145
#@ set vhdlout_three_state_name   "'Z'"
1146
#@ set vhdlout_three_state_res_func   ""
1147
#@ set vhdlout_time_scale   1.0
1148
#@ set vhdlout_top_configuration_arch_name   "A"
1149
#@ set vhdlout_top_configuration_entity_name   "E"
1150
#@ set vhdlout_top_configuration_name  "CFG_TB_E"
1151
#@ set vhdlout_unknown_name   "'X'"
1152
#@ set vhdlout_upcase   "FALSE"
1153
#@ set vhdlout_use_packages   {IEEE.std_logic_1164}
1154
#@ set vhdlout_wired_and_res_func   ""
1155
#@ set vhdlout_wired_or_res_func   ""
1156
#@ set vhdlout_write_architecture   "TRUE"
1157
#@ set vhdlout_write_components   "TRUE"
1158
#@ set vhdlout_write_entity   "TRUE"
1159
#@ set vhdlout_write_top_configuration   "FALSE"
1160
#@ set vhdlout_synthesis_off   "TRUE"
1161
#@ set vhdlout_zero_name   "'0'"
1162
#@ set vhdlout_levelize   "FALSE"
1163
#@ set vhdlout_dont_create_dummy_nets   "FALSE"
1164
#@ set vhdlout_follow_vector_direction   "FALSE"
1165
#@
1166
#@
1167
#@ # vhdl netlist reader variables
1168
#@ set enable_vhdl_netlist_reader "FALSE"
1169
#@
1170
#@ # variables pertaining to VHDL library generation
1171
#@ set vhdllib_timing_mesg   "true"
1172
#@ set vhdllib_timing_xgen   "false"
1173
#@ set vhdllib_timing_checks   "true"
1174
#@ set vhdllib_negative_constraint   "false"
1175
#@ set vhdllib_glitch_handle   "true"
1176
#@ set vhdllib_pulse_handle   "use_vhdllib_glitch_handle"
1177
#@ # /*vhdllib_architecture = {FTBM, UDSM, FTSM, FTGS, VITAL}; */
1178
#@ set vhdllib_architecture   {UDSM, FTSM, FTGS, VITAL}
1179
#@ set vhdllib_tb_compare   0
1180
#@ set vhdllib_tb_x_eq_dontcare   FALSE
1181
#@ set vhdllib_logic_system   "ieee-1164"
1182
#@ set vhdllib_logical_name   ""
1183
#@
1184
#@ # variables pertaining to technology library processing
1185
#@ set read_db_lib_warnings   FALSE
1186
#@ set read_translate_msff    TRUE
1187
#@ set libgen_max_differences   -1
1188
#@
1189
#@ #
1190
#@ #        View Variable Group:
1191
#@ #
1192
#@ #        These variables define the behavior of the Design_Analyzer.
1193
#@ #        Each user may wish to customize the cursor color, or , , etc. of the
1194
#@ #        viewer in his/her own .synopsys file.
1195
#@ #
1196
#@ #
1197
#@ set view_maximum_route_grids   0
1198
#@ set view_dialogs_modal   "true"
1199
#@ set view_disable_error_windows   "false"
1200
#@ set view_error_window_count   6
1201
#@ set view_log_file   ""
1202
#@ set view_busy_during_selection   "true"
1203
#@ set view_set_cursor_area   5
1204
#@ set view_cache_images   "true"
1205
#@ set view_draw_text_breakpoint   0.01
1206
#@ set view_use_integer_scaling   "false"
1207
#@ set view_use_x_routines   "true"
1208
#@ set view_disable_output   "false"
1209
#@ #set view_arch_types   {sparcOS5, hpux10, apollo, decmips, hp700, mips, necmips, rs6000, sgimips, sonymips, sun3, sparc}
1210
#@ set view_icon_path ${init_path}/icons
1211
#@ set view_background   "black"
1212
#@ set view_disable_cursor_warping   "true"
1213
#@ set view_watcher ${bin_path}/da_watcher_exec
1214
#@ set da_ref_manual "synth/daptr/toc.pdf"
1215
#@ set view_command_win_max_lines   1000
1216
#@ set view_select_separator   "  -  "
1217
#@ set view_select_default_message   "Left Button: Select   -   Middle Button: Add/Modify Select   -   Right Button: Menu"
1218
#@ set view_on_line_doc_cmd ${synopsys_root}/sold
1219
#@ set view_info_search_cmd ${synopsys_root}/infosearch/scripts/InfoSearch
1220
#@ set view_script_submenu_items   {}
1221
#@ set x11_set_cursor_number   -1
1222
#@ set x11_set_cursor_foreground   ""
1223
#@ set x11_set_cursor_background   ""
1224
#@ set view_set_selecting_color    ""
1225
#@ set view_use_small_cursor   ""
1226
#@ # added for star 12763
1227
#@ set view_tools_menu_items   {}
1228
#@ # affect the HDL Text Viewer
1229
#@ set text_unselect_on_button_press   "true"
1230
#@ set text_editor_command   "xterm -fn 8x13 -e vi %s &"
1231
#@ set test_design_analyzer_uses_insert_scan   "true"
1232
#@
1233
#@ #
1234
#@ # If you like emacs, uncomment the next line
1235
#@ # set text_editor_command  "emacs -fn 8x13 %s &" ;
1236
#@
1237
#@ # You can delete pairs from this list, but you can't add new ones
1238
#@ # unless you also update the UIL files.  So, customers can not add
1239
#@ # dialogs to this list, only Synopsys can do that.
1240
#@ #
1241
#@ set view_independent_dialogs   { "test_report",    " Test Reports ",                              "report_print",   " Report ",                              "report_options", " Report Options ",                              "report_win",     " Report Output ",                              "manual_page",    " Manual Page " }
1242
#@
1243
#@ # if color Silicon Graphics workstation
1244
#@ if { [info exists x11_vendor_string] && [info exists x11_is_color]} {
1245
#@   if {  $x11_vendor_string == "Silicon" && $x11_is_color == "true" } {
1246
#@     set x11_set_cursor_foreground "magenta"
1247
#@     set view_use_small_cursor "true"
1248
#@     set view_set_selecting_color "white"
1249
#@   }
1250
#@ }
1251
#@
1252
#@ # if running on an Apollo machine
1253
#@ set found_x11_vendor_string_apollo 0
1254
#@ set found_arch_apollo 0
1255
#@ if { [info exists x11_vendor_string]} {
1256
#@   if { $x11_vendor_string == "Apollo "} {
1257
#@     set found_x11_vendor_string_apollo 1
1258
#@   }
1259
#@ }
1260
#@ if { [info exists arch]} {
1261
#@   if { $arch == "apollo"} {
1262
#@     set found_arch_apollo 1
1263
#@   }
1264
#@ }
1265
#@ if { $found_x11_vendor_string_apollo == 1 || $found_arch_apollo == 1} {
1266
#@   set enable_page_mode  "false"
1267
#@ } else {
1268
#@   set enable_page_mode  "true"
1269
#@ }
1270
#@
1271
#@ # don't work around this bug on the Apollo
1272
#@ if { $found_x11_vendor_string_apollo == 1} {
1273
#@   set view_extend_thick_lines "false"
1274
#@ } else {
1275
#@   set view_extend_thick_lines "true"
1276
#@ }
1277
#@
1278
#@ #
1279
#@ #        Suffix Variable Group:
1280
#@ #
1281
#@ #        Suffixes recognized by the Design Analyzer menu in file choices
1282
#@ #
1283
#@ if { $synopsys_program_name == "design_vision" || $synopsys_program_name == "psyn_gui" } {
1284
#@   # For star 93040 do NOT include NET in list, 108991 : pdb suffix added
1285
#@   set view_read_file_suffix    {db gdb sdb pdb edif eqn fnc lsi mif pla st tdl v vhd vhdl xnf}
1286
#@ } else {
1287
#@   set view_read_file_suffix    {db gdb sdb edif eqn fnc lsi mif NET pla st tdl v vhd vhdl xnf}
1288
#@ }
1289
#@
1290
#@ set view_analyze_file_suffix    {v vhd vhdl}
1291
#@ set view_write_file_suffix   {gdb db sdb do edif eqn fnc lsi NET neted pla st tdl v vhd vhdl xnf}
1292
#@ set view_execute_script_suffix   {.script .scr .dcs .dcv .dc .con}
1293
#@ set view_arch_types   {sparcOS5 hpux10 rs6000 sgimips}
1294
#@
1295
#@ #
1296
#@ #        links_to_layout Variable Group:
1297
#@ #
1298
#@ #        These variables affect the read_timing, write_timing
1299
#@ #        set_annotated_delay, compile, create_wire_load and reoptimize_design
1300
#@ #        commands.
1301
#@ #
1302
#@ set rtl_load_resistance_factor 0.0
1303
#@ set auto_wire_load_selection   "true"
1304
#@ set compile_create_wire_load_table   "false"
1305
#@
1306
#@ #      power Variable Group:
1307
#@ #
1308
#@ #        These variables affect the behavior of power analysis.
1309
#@ #
1310
#@ #
1311
#@
1312
#@ set power_keep_license_after_power_commands   "false"
1313
#@ set power_rtl_saif_file                       "power_rtl.saif"
1314
#@ set power_sdpd_saif_file                      "power_sdpd.saif"
1315
#@ set power_preserve_rtl_hier_names             "false"
1316
#@ set power_do_not_size_icg_cells               "false"
1317
#@ set power_hdlc_do_not_split_cg_cells          "false"
1318
#@
1319
#@ #      BC Variable Group:
1320
#@ #
1321
#@ #        These variables affect the BC behavior
1322
#@ #
1323
#@ #
1324
#@
1325
#@ #
1326
#@ # BCView
1327
#@ #
1328
#@ set bc_enable_analysis_info           "false"
1329
#@
1330
#@ #
1331
#@ # Scheduling
1332
#@ #
1333
#@ set bc_enable_chaining                "true"
1334
#@ set bc_enable_multi_cycle             "true"
1335
#@ set bc_enable_speculative_execution   "false"
1336
#@
1337
#@
1338
#@ #
1339
#@ # Control Generation
1340
#@ #
1341
#@ set bc_fsm_coding_style               "one_hot"
1342
#@
1343
#@ #
1344
#@ # Netlisting
1345
#@ #
1346
#@ #Ki-Seok: removed the following 3 variables: Jan. 1999
1347
#@ #/*
1348
#@ #set bc_no_reset_on_datapath           "true"
1349
#@ #set bc_clears_all_registers           "false"
1350
#@ #set bc_connect_reset                  "true"
1351
#@ #*/
1352
#@
1353
#@ #/*
1354
#@ # * Timing (bc_time_design and timing estimates during scheduling)
1355
#@ # */
1356
#@ #/* Removed by Suhrid A. Wadekar  Feb. 11, 1999.
1357
#@ # * bc_preserved_functions_map_effort is no longer supported
1358
#@ # */
1359
#@ #/*
1360
#@ #set bc_preserved_functions_map_effort         "medium"
1361
#@ #*/
1362
#@ set bc_time_all_sequential_op_bindings        "false"
1363
#@ set bc_estimate_mux_input                     4
1364
#@ set bc_estimate_timing_effort                 "high"
1365
#@
1366
#@ #/*
1367
#@ # * Memories
1368
#@ # */
1369
#@ set bc_allow_shared_memories          "false"
1370
#@ set bc_constrain_signal_memories      "false"
1371
#@ set bc_detect_memory_accesses         "true"
1372
#@ set bc_detect_array_accesses          "true"
1373
#@ set bc_chain_read_into_mem            "true"
1374
#@ set bc_chain_read_into_oper           "true"
1375
#@
1376
#@ #/*
1377
#@ # * Logic grouping
1378
#@ # */
1379
#@ set bc_group_eql_logic                "true"
1380
#@ set bc_group_index_logic              "true"
1381
#@ set bc_use_registerfiles              "false"
1382
#@
1383
#@ #
1384
#@ #  Reporting
1385
#@ #
1386
#@ set bc_report_filter                  ""
1387
#@
1388
#@ #
1389
#@ # RTLout debug mode
1390
#@ #
1391
#@ set vhdlout_debug_mode "false"
1392
#@ set verilogout_debug_mode "false"
1393
#@
1394
#@ #
1395
#@ # RTLout i/o trace
1396
#@ #
1397
#@ set bc_add_io_trace "false"
1398
#@
1399
#@ # Synthesizable RTLOUT variables
1400
#@ set bc_synrtl_map_to_gtech "true"
1401
#@ set bc_synrtl_write_precompiled_designware "true"
1402
#@ set bc_synrtl_write_preserved_functions "true"
1403
#@ set bc_synrtl_write_dcsh_and_dctcl "false"
1404
#@
1405
#@ # SystemC related variables
1406
#@ set systemcout_levelize "true"
1407
#@ set systemcout_debug_mode "false"
1408
#@
1409
#@ # ACS Variables
1410
#@ if { [info exists acs_work_dir] } {
1411
#@   set acs_work_dir                            [pwd]
1412
#@   set acs_hdl_source                          {}
1413
#@   set acs_verilog_extensions                  {.v}
1414
#@   set acs_vhdl_extensions                     {.vhd}
1415
#@   set acs_exclude_extensions                  {}
1416
#@   set acs_exclude_list                        {}
1417
#@   set acs_area_report_suffix                  "area"
1418
#@   set acs_budgeted_cstr_suffix                "con"
1419
#@   set acs_compile_script_suffix               "autoscr"
1420
#@   set acs_constraint_file_suffix              "con"
1421
#@   set acs_cstr_report_suffix                  "cstr"
1422
#@   set acs_db_suffix                           "db"
1423
#@   set acs_log_file_suffix                     "log"
1424
#@   set acs_makefile_name                       "Makefile"
1425
#@   set acs_override_script_suffix              "scr"
1426
#@   set acs_override_report_suffix              "report"
1427
#@   set acs_qor_report_suffix                   "qor"
1428
#@   set acs_timing_report_suffix                "tim"
1429
#@   set acs_user_compile_strategy_script_suffix "compile"
1430
#@   set acs_global_user_compile_strategy_script "default"
1431
#@   set acs_budget_script_file_suffix           "btcl"
1432
#@   set acs_budget_output_file_suffix           "btcl.out"
1433
#@   set acs_use_lsf                             "false"
1434
#@   set acs_script_mode                         "dctcl"
1435
#@   set acs_default_pass_name                   "pass"
1436
#@   set acs_lic_wait                              0
1437
#@   set acs_num_parallel_jobs                     1
1438
#@   set acs_make_exec                           "gmake"
1439
#@   set acs_make_args                           "set acs_make_args"
1440
#@   set acs_bsub_exec                           "bsub"
1441
#@   set acs_bsub_args                           "set acs_bsub_args"
1442
#@   set acs_user_budgeting_script               "budget.scr"
1443
#@   set acs_bs_exec                             ""
1444
#@   set acs_tr_exec                             ""
1445
#@   set acs_dc_exec                             ""
1446
#@   set acs_use_default_delays                  "false"
1447
#@   set acs_use_dc_gate_level_budgeting         "false"
1448
#@   set acs_use_autopartition                   "false"
1449
#@   set acs_autopart_max_percent                "0.0"
1450
#@   set acs_autopart_max_area                   "0.0"
1451
#@   set arest_pincount_method                   "allcell"
1452
#@   set check_error_list [list         CMD-004 CMD-006 CMD-007 CMD-008 CMD-009 CMD-010 CMD-011 CMD-012         CMD-014 CMD-015 CMD-016 CMD-019 CMD-026 CMD-031 CMD-037         DB-1         DCSH-11         DES-001         FILE-1 FILE-2 FILE-3 FILE-4         LINK-5 LINK-7 LINT-7 LINT-20 LNK-023         OPT-100 OPT-101 OPT-102 OPT-114 OPT-124 OPT-127 OPT-128 OPT-155   OPT-157 OPT-181 OPT-462         UI-11 UI-14 UI-15 UI-16 UI-17 UI-19 UI-20 UI-21 UI-22 UI-23 UI-40         UI-41          UID-4 UID-6 UID-7 UID-8 UID-9 UID-13 UID-14 UID-15 UID-19 UID-20         UID-25 UID-27 UID-28 UID-29 UID-30 UID-32 UID-58 UID-87 UID-103         UID-109 UID-270  UID-272 UID-403 UID-440 UID-444          UIO-2 UIO-3 UIO-4 UIO-25 UIO-65 UIO-66 UIO-75 UIO-94 UIO-95         EQN-6 EQN-11 EQN-15 EQN-16 EQN-18 EQN-20       ]
1453
#@ }
1454
#@
1455
#@ #
1456
#@ #     eco variable group
1457
#@ #
1458
#@ #     These variables affect ECO Compiler functionality
1459
#@ #
1460
#@ #
1461
#@ set eco_align_design_verbose            "false"
1462
#@ set eco_allow_register_type_difference  "false"
1463
#@ set eco_connect_resource_cell_inputs    "true"
1464
#@ set eco_correspondence_analysis_verbose "false"
1465
#@ set eco_directives_verbose              "false"
1466
#@ set eco_implement_effort_level          "low"
1467
#@ set eco_instance_name_prefix            "eco_"
1468
#@ set eco_recycle_verbose                 "true"
1469
#@ set eco_remap_register_verbose          "false"
1470
#@ set eco_reuse_verbose                   "false"
1471
#@
1472
#@
1473
#@ #
1474
#@ #        Variable Group Definitions:
1475
#@ #
1476
#@ #        The group_variable() command groups variables for display
1477
#@ #        in the "File/Defaults" dialog and defines groups of variables
1478
#@ #        for the list() command.
1479
#@ #
1480
#@
1481
#@ set enable_instances_in_report_net   "false"
1482
#@ # Set report options env variables
1483
#@ set view_report_interactive     "true"
1484
#@ set view_report_output2file     "false"
1485
#@ set view_report_append          "true"
1486
#@
1487
#@ group_variable  report_variables  "enable_instances_in_report_net"
1488
#@ group_variable  report_variables  "view_report_interactive"
1489
#@ group_variable  report_variables  "view_report_output2file"
1490
#@ group_variable  report_variables  "view_report_append"
1491
#@
1492
#@ # "links_to_layout" variables are used by multiple commands
1493
#@ # auto_wire_load_selection is also in the "compile" variable group.
1494
#@ group_variable  links_to_layout  "auto_wire_load_selection"
1495
#@
1496
#@ # variables starting with "compile" are also in the compile variable group
1497
#@ group_variable  links_to_layout  "compile_dont_touch_annotated_cell_during_inplace_opt"
1498
#@
1499
#@ group_variable  links_to_layout  "compile_update_annotated_delays_during_inplace_opt"
1500
#@ group_variable  links_to_layout  "compile_create_wire_load_table"
1501
#@
1502
#@ group_variable  links_to_layout  "reoptimize_design_changed_list_file_name"
1503
#@ group_variable  links_to_layout  "sdfout_allow_non_positive_constraints"
1504
#@
1505
#@ #
1506
#@ # to find the XErrorDB and XKeySymDB for X11 file
1507
#@ set motif_files ${synopsys_root}/admin/setup
1508
#@ # set filename for logging input file
1509
#@ set filename_log_file   "filenames.log"
1510
#@ # whether to delete the filename log after the normal exits
1511
#@ set exit_delete_filename_log_file   "true"
1512
#@
1513
#@ # executable to fire off RTLA/BCV
1514
#@ set xterm_executable   "xterm"
1515
#@
1516
#@ # "system" variables are used by multiple commands
1517
#@ group_variable  system  auto_link_disable
1518
#@ group_variable  system  auto_link_options
1519
#@ group_variable  system  command_log_file
1520
#@ group_variable  system  company
1521
#@ group_variable  system  compatibility_version
1522
#@
1523
#@ if { [string compare $dc_shell_mode "default"] == 0 } {
1524
#@   group_variable  system  "current_design"
1525
#@   group_variable  system  "current_instance"
1526
#@   group_variable  system  "dc_shell_status"
1527
#@ } else {
1528
#@   set current_design   ""
1529
#@   set current_instance ""
1530
#@   group_variable  system  "current_design"
1531
#@   group_variable  system  "current_instance"
1532
#@ }
1533
#@
1534
#@ group_variable  system  "designer"
1535
#@ group_variable  system  "echo_include_commands"
1536
#@ group_variable  system  "enable_page_mode"
1537
#@ group_variable  system  "change_names_update_inst_tree"
1538
#@ group_variable  system  "change_names_dont_change_bus_members"
1539
#@ group_variable  system  "default_name_rules"
1540
#@ group_variable  system  "verbose_messages"
1541
#@ group_variable  system  "link_library"
1542
#@ group_variable  system  "physical_library"
1543
#@ group_variable  system  "link_force_case"
1544
#@ group_variable  system  "search_path"
1545
#@ group_variable  system  "synthetic_library"
1546
#@ group_variable  system  "target_library"
1547
#@ group_variable  system  "uniquify_naming_style"
1548
#@ group_variable  system  "suppress_errors"
1549
#@ group_variable  system  "find_converts_name_lists"
1550
#@ group_variable  system  "filename_log_file"
1551
#@ group_variable  system  "exit_delete_filename_log_file"
1552
#@ group_variable  system  "syntax_check_status"
1553
#@ group_variable  system  "context_check_status"
1554
#@
1555
#@ #/* "compile" variables are used by the compile command */
1556
#@ group_variable  compile  "compile_assume_fully_decoded_three_state_busses"
1557
#@ group_variable  compile  "compile_automatic_clock_phase_inference"
1558
#@ group_variable  compile  "compile_no_new_cells_at_top_level"
1559
#@ group_variable  compile  "compile_dont_touch_annotated_cell_during_inplace_opt"
1560
#@ group_variable  compile  "reoptimize_design_changed_list_file_name"
1561
#@ group_variable  compile  "compile_create_wire_load_table"
1562
#@ group_variable  compile  "compile_update_annotated_delays_during_inplace_opt"
1563
#@ group_variable  compile  "compile_instance_name_prefix"
1564
#@ group_variable  compile  "compile_instance_name_suffix"
1565
#@ group_variable  compile  "compile_negative_logic_methodology"
1566
#@ group_variable  compile  "compile_disable_hierarchical_inverter_opt"
1567
#@
1568
#@ group_variable  compile  "port_complement_naming_style"
1569
#@ group_variable  compile  "auto_wire_load_selection"
1570
#@ group_variable       compile  "rtl_load_resistance_factor"
1571
#@ group_variable  compile  "compile_implementation_selection"
1572
#@ group_variable  compile  "compile_use_fast_delay_mode"
1573
#@ group_variable  compile  "compile_use_low_timing_effort"
1574
#@ group_variable  compile  "compile_new_boolean_structure"
1575
#@ group_variable  compile  "compile_fix_cell_degradation"
1576
#@ group_variable  compile  "compile_mux_no_boundary_optimization"
1577
#@ group_variable  compile  "compile_create_mux_op_hierarchy"
1578
#@ group_variable  compile  "compile_preserve_subdesign_interfaces"
1579
#@ group_variable  compile  "compile_sequential_area_recovery"
1580
#@ group_variable  compile  "compile_delete_unloaded_sequential_cells"
1581
#@ group_variable  compile  "enable_recovery_removal_arcs"
1582
#@ group_variable  compile  "compile_checkpoint_filename"
1583
#@ group_variable  compile  "compile_checkpoint_cpu_interval"
1584
#@ group_variable  compile  "compile_checkpoint_phases"
1585
#@ group_variable  compile  "compile_checkpoint_pre_delay_filename"
1586
#@ group_variable  compile  "compile_checkpoint_pre_drc1_filename"
1587
#@ group_variable  compile  "compile_checkpoint_pre_drc2_filename"
1588
#@ group_variable  compile  "compile_checkpoint_pre_area_filename"
1589
#@ group_variable  compile  "compile_cpu_limit"
1590
#@ group_variable  compile  "compile_top_all_paths"
1591
#@ group_variable  compile  "compile_top_all_paths"
1592
#@ group_variable  compile  "default_port_connection_class"
1593
#@ group_variable  compile  "compile_dw_simple_mode"
1594
#@ group_variable  compile  "compile_simple_mode_block_effort"
1595
#@ group_variable  compile  "compile_new_optimization"
1596
#@
1597
#@
1598
#@ # "multibit" variables are used by the the multibit mapping functionality
1599
#@
1600
#@ group_variable  multibit  "bus_multiple_separator_style"
1601
#@
1602
#@ # "estimate" variables are used by the estimate command
1603
#@ # The estimate command also recognizes the "compile" variables.
1604
#@ group_variable  estimate  "estimate_resource_preference"
1605
#@
1606
#@ # "synthetic_library" variables
1607
#@ group_variable  synlib  "cache_dir_chmod_octal"
1608
#@ group_variable  synlib  "cache_file_chmod_octal"
1609
#@ group_variable  synlib  "cache_read"
1610
#@ group_variable  synlib  "cache_read_info"
1611
#@ group_variable  synlib  "cache_write"
1612
#@ group_variable  synlib  "cache_write_info"
1613
#@ group_variable  synlib  "dw_prefer_mc_inside"
1614
#@ group_variable  synlib  "hdlin_replace_synthetic"
1615
#@ group_variable  synlib  "mgi_scratch_directory"
1616
#@ group_variable  synlib  "synlib_disable_limited_licenses"
1617
#@ group_variable  synlib  "synlib_dont_get_license"
1618
#@ group_variable  synlib  "synlib_evaluation_mode"
1619
#@ group_variable  synlib  "synlib_model_map_effort"
1620
#@ group_variable  synlib  "synlib_optimize_non_cache_elements"
1621
#@ group_variable  synlib  "synlib_prefer_ultra_license"
1622
#@ group_variable  synlib  "synlib_sequential_module"
1623
#@ group_variable  synlib  "synlib_wait_for_design_license"
1624
#@ group_variable  synlib  "synthetic_library"
1625
#@
1626
#@ # "insert_dft" variables are used by the insert_dft and preview_dft commands
1627
#@ group_variable       insert_dft   "test_default_client_order"
1628
#@ group_variable       insert_dft   "test_point_keep_hierarchy"
1629
#@ group_variable  insert_dft   "insert_dft_clean_up"
1630
#@ group_variable  insert_dft  "insert_test_design_naming_style"
1631
#@ group_variable  insert_dft  "test_clock_port_naming_style"
1632
#@ group_variable  insert_dft  "test_default_min_fault_coverage"
1633
#@ group_variable  insert_dft  "test_scan_clock_a_port_naming_style"
1634
#@ group_variable  insert_dft  "test_scan_clock_b_port_naming_style"
1635
#@ group_variable  insert_dft  "test_scan_clock_port_naming_style"
1636
#@ group_variable  insert_dft  "test_scan_enable_inverted_port_naming_style"
1637
#@ group_variable  insert_dft  "test_scan_enable_port_naming_style"
1638
#@ group_variable  insert_dft  "test_scan_in_port_naming_style"
1639
#@ group_variable  insert_dft  "test_scan_out_port_naming_style"
1640
#@ group_variable  insert_dft  "test_non_scan_clock_port_naming_style"
1641
#@ group_variable  insert_dft  "test_dedicated_subdesign_scan_outs"
1642
#@ group_variable  insert_dft  "test_disable_find_best_scan_out"
1643
#@ group_variable  insert_dft  "test_dont_fix_constraint_violations"
1644
#@ group_variable  insert_dft  "test_isolate_hier_scan_out"
1645
#@ group_variable  insert_dft  "test_mode_port_naming_style"
1646
#@ group_variable  insert_dft  "test_mode_port_inverted_naming_style"
1647
#@ group_variable  insert_dft  "compile_dont_use_dedicated_scanout"
1648
#@ group_variable  insert_dft  "test_mux_constant_so"
1649
#@
1650
#@ # "preview_scan" variables are used by the preview_scan command
1651
#@ group_variable preview_scan "test_preview_scan_shows_cell_types"
1652
#@ group_variable  preview_scan  "test_scan_link_so_lockup_key"
1653
#@ group_variable  preview_scan  "test_scan_link_wire_key"
1654
#@ group_variable  preview_scan  "test_scan_segment_key"
1655
#@ group_variable  preview_scan  "test_scan_true_key"
1656
#@ group_variable  preview_scan  "test_jump_over_bufs_invs"
1657
#@
1658
#@ # "bsd" variables are used by the check_bsd and write_bsdl commands
1659
#@ group_variable  bsd  "test_user_test_data_register_naming_style"
1660
#@ group_variable  bsd  "test_user_defined_instruction_naming_style"
1661
#@ group_variable  bsd  "test_bsdl_default_suffix_name"
1662
#@ group_variable  bsd  "test_bsdl_max_line_length"
1663
#@ group_variable  bsd  "test_cc_ir_masked_bits"
1664
#@ group_variable  bsd  "test_cc_ir_value_of_masked_bits"
1665
#@
1666
#@ group_variable  bsd  "test_bsd_allow_tolerable_violations"
1667
#@ group_variable  bsd  "test_bsd_optimize_control_cell"
1668
#@ group_variable  bsd  "test_bsd_control_cell_drive_limit"
1669
#@ group_variable  bsd  "test_bsd_manufacturer_id"
1670
#@ group_variable  bsd  "test_bsd_part_number"
1671
#@ group_variable  bsd  "test_bsd_version_number"
1672
#@
1673
#@
1674
#@ # testmanager variables
1675
#@ group_variable  testmanager  "multi_pass_test_generation"
1676
#@
1677
#@ # "testsim" variables
1678
#@ # group_variable  testsim  "testsim_print_stats_file"
1679
#@
1680
#@ # "test" variables
1681
#@ group_variable  test  "test_default_bidir_delay"
1682
#@ group_variable  test  "test_default_delay"
1683
#@ group_variable  test  "test_default_period"
1684
#@ group_variable  test  "test_default_strobe"
1685
#@ group_variable  test  "test_default_strobe_width"
1686
#@ group_variable  test  "test_capture_clock_skew"
1687
#@ group_variable  test  "test_allow_clock_reconvergence"
1688
#@ group_variable  test  "test_default_scan_style"
1689
#@ group_variable  test  "test_check_port_changes_in_capture"
1690
#@ group_variable  test  "test_stil_netlist_format"
1691
#@ group_variable  test  "test_stil_multiclock_capture_procedures"
1692
#@ group_variable  test  "test_stil_max_line_length"
1693
#@ group_variable  test  "test_write_four_cycle_stil_protocol"
1694
#@ group_variable  test  "test_infer_slave_clock_pulse_after_capture"
1695
#@ group_variable       test  "test_protocol_add_cycle"
1696
#@ group_variable  test  "test_rtldrc_latch_check_style"
1697
#@
1698
#@ # "jtag" variables
1699
#@ group_variable  jtag  "jtag_manufacturer_id"
1700
#@ group_variable  jtag  "jtag_part_number"
1701
#@ group_variable  jtag  "jtag_port_drive_limit"
1702
#@ group_variable  jtag  "jtag_version_number"
1703
#@ group_variable  jtag  "jtag_test_data_in_port_naming_style"
1704
#@ group_variable  jtag  "jtag_test_data_out_port_naming_style"
1705
#@ group_variable  jtag  "jtag_test_mode_select_port_naming_style"
1706
#@
1707
#@ group_variable  jtag  "jtag_test_clock_port_naming_style"
1708
#@ group_variable  jtag  "jtag_test_reset_port_naming_style"
1709
#@
1710
#@ # "atpg" variables are used by the create_test_patterns command
1711
#@ # From Peace on, the "atpg_test_asynchronous_pins" is obsolete
1712
#@ # group_variable  atpg  "atpg_test_asynchronous_pins"
1713
#@ group_variable  atpg  "atpg_bidirect_output_only"
1714
#@
1715
#@ # "write_test" variables are used by the write_test command
1716
#@ group_variable  write_test  "write_test_formats"
1717
#@ group_variable  write_test  "write_test_include_scan_cell_info"
1718
#@ group_variable  write_test  "write_test_input_dont_care_value"
1719
#@ group_variable  write_test  "write_test_max_cycles"
1720
#@ group_variable  write_test  "write_test_max_scan_patterns"
1721
#@ group_variable  write_test  "write_test_pattern_set_naming_style"
1722
#@ group_variable  write_test  "write_test_scan_check_file_naming_style"
1723
#@ group_variable  write_test  "write_test_vector_file_naming_style"
1724
#@ group_variable  write_test  "write_test_round_timing_values"
1725
#@
1726
#@ # "schematic" variables are used by the create_schematic command
1727
#@ group_variable  schematic  "bus_dimension_separator_style"
1728
#@ group_variable  schematic  "bus_naming_style"
1729
#@ group_variable  schematic  "bus_range_separator_style"
1730
#@ group_variable  schematic  "duplicate_ports"
1731
#@ group_variable  schematic  "generic_symbol_library"
1732
#@ group_variable  schematic  "gen_max_ports_on_symbol_side"
1733
#@ group_variable  schematic  "gen_bussing_exact_implicit"
1734
#@ group_variable  schematic  "gen_cell_pin_name_separator"
1735
#@ group_variable  schematic  "gen_max_compound_name_length"
1736
#@ group_variable  schematic  "single_group_per_sheet"
1737
#@ group_variable  schematic  "symbol_library"
1738
#@ group_variable  schematic  "use_port_name_for_oscs"
1739
#@ group_variable  schematic  "default_schematic_options"
1740
#@ group_variable  schematic  "gen_match_ripper_wire_widths"
1741
#@ group_variable  schematic  "gen_show_created_busses"
1742
#@ group_variable  schematic  "gen_show_created_symbols"
1743
#@ group_variable  schematic  "gen_dont_show_single_bit_busses"
1744
#@ group_variable  schematic  "gen_single_osc_per_name"
1745
#@ group_variable  schematic  "gen_create_netlist_busses"
1746
#@ group_variable  schematic  "gen_open_name_prefix"
1747
#@ group_variable  schematic  "gen_open_name_postfix"
1748
#@ group_variable  schematic  "sort_outputs"
1749
#@
1750
#@ # "view" variables are used by the design_analyzer
1751
#@ group_variable  view  "x11_set_cursor_background"
1752
#@ group_variable  view  "x11_set_cursor_foreground"
1753
#@ group_variable  view  "x11_set_cursor_number"
1754
#@
1755
#@
1756
#@ if { [info exists x11_is_color] } {
1757
#@   if { $x11_is_color != "x11_is_color"} {
1758
#@     group_variable view "x11_is_color"
1759
#@     group_variable view "x11_display_string"
1760
#@     group_variable view "x11_vendor_version_number"
1761
#@     group_variable view "x11_vendor_release_number"
1762
#@     group_variable view "x11_vendor_string"
1763
#@   }
1764
#@ }
1765
#@
1766
#@ group_variable  view  "default_schematic_options"
1767
#@ group_variable  view  "view_arch_types"
1768
#@ group_variable  view  "view_background"
1769
#@ group_variable  view  "view_command_log_file"
1770
#@ group_variable  view  "view_dialogs_modal"
1771
#@ group_variable  view  "view_disable_cursor_warping"
1772
#@ group_variable  view  "view_disable_error_windows"
1773
#@ group_variable  view  "view_error_window_count"
1774
#@ group_variable  view  "view_log_file"
1775
#@ group_variable  view  "view_use_x_routines"
1776
#@ group_variable  view  "view_cache_images"
1777
#@ group_variable  view  "view_disable_output"
1778
#@ group_variable  view  "view_command_win_max_lines"
1779
#@ group_variable  view  "view_on_line_doc_cmd"
1780
#@ group_variable  view  "view_info_search_cmd"
1781
#@ group_variable  view  "view_script_submenu_items"
1782
#@ group_variable  view  "view_use_small_cursor"
1783
#@
1784
#@ # These next variable are also in the `suffix' group
1785
#@ group_variable  view  "view_execute_script_suffix"
1786
#@ group_variable  view  "view_read_file_suffix"
1787
#@ group_variable  view  "view_analyze_file_suffix"
1788
#@ group_variable  view  "view_write_file_suffix"
1789
#@
1790
#@ # group the text viewer variable with the other Design Analyzer variables.
1791
#@ group_variable  view  "text_editor_command"
1792
#@ group_variable  view  "text_print_command"
1793
#@
1794
#@ group_variable  view  "view_tools_menu_items"
1795
#@
1796
#@ group_variable  view  "test_design_analyzer_uses_insert_scan"
1797
#@
1798
#@ if { ( [string compare $synopsys_program_name "design_vision"] == 0 ) ||
1799
#@      ( [string compare $synopsys_program_name "psyn_gui"] == 0 ) } {
1800
#@   group_variable  view  "dv_enable_conservative_invalidate"
1801
#@ }
1802
#@
1803
#@ # "io" variables are used by the read, read_lib, db2sge and write commands
1804
#@ group_variable  io  "bus_inference_descending_sort"
1805
#@ group_variable  io  "bus_inference_style"
1806
#@ group_variable  io  "db2sge_output_directory"
1807
#@ group_variable  io  "db2sge_scale"
1808
#@ group_variable  io  "db2sge_overwrite"
1809
#@ group_variable  io  "db2sge_display_symbol_names"
1810
#@ group_variable  io  "db2sge_display_pin_names"
1811
#@ group_variable  io  "db2sge_display_instance_names"
1812
#@ group_variable  io  "db2sge_use_bustaps"
1813
#@ group_variable  io  "db2sge_use_compound_names"
1814
#@ group_variable  io  "db2sge_bit_type"
1815
#@ group_variable  io  "db2sge_bit_vector_type"
1816
#@ group_variable  io  "db2sge_one_name"
1817
#@ group_variable  io  "db2sge_zero_name"
1818
#@ group_variable  io  "db2sge_unknown_name"
1819
#@ group_variable  io  "db2sge_target_xp"
1820
#@ group_variable  io  "db2sge_tcf_package_file"
1821
#@ group_variable  io  "db2sge_use_lib_section"
1822
#@ group_variable  io  "db2sge_script"
1823
#@ group_variable  io  "db2sge_command"
1824
#@ group_variable  io  "equationout_and_sign"
1825
#@ group_variable  io  "equationout_or_sign"
1826
#@ group_variable  io  "equationout_postfix_negation"
1827
#@ group_variable  io  "lsiin_net_name_prefix"
1828
#@ group_variable  io  "lsiout_inverter_cell"
1829
#@ group_variable  io  "lsiout_upcase"
1830
#@ group_variable  io  "mentor_bidirect_value"
1831
#@ group_variable  io  "mentor_do_path"
1832
#@ group_variable  io  "mentor_input_output_property_name"
1833
#@ group_variable  io  "mentor_input_value"
1834
#@ group_variable  io  "mentor_logic_one_value"
1835
#@ group_variable  io  "mentor_logic_zero_one_property_name"
1836
#@ group_variable  io  "mentor_logic_zero_value"
1837
#@ group_variable  io  "mentor_output_value"
1838
#@ group_variable  io  "mentor_primitive_property_name"
1839
#@ group_variable  io  "mentor_primitive_property_value"
1840
#@ group_variable  io  "mentor_reference_property_name"
1841
#@ group_variable  io  "mentor_search_path"
1842
#@ group_variable  io  "mentor_write_symbols"
1843
#@ group_variable  io  "pla_read_create_flip_flop"
1844
#@ group_variable  io  "tdlout_upcase"
1845
#@ group_variable  io  "write_name_nets_same_as_ports"
1846
#@ group_variable  io  "xnfout_constraints_per_endpoint"
1847
#@ group_variable  io  "xnfout_default_time_constraints"
1848
#@ group_variable  io  "xnfout_clock_attribute_style"
1849
#@ group_variable  io  "xnfout_library_version"
1850
#@ group_variable  io  "xnfin_family"
1851
#@ group_variable  io  "xnfin_ignore_pins"
1852
#@ group_variable  io  "xnfin_dff_reset_pin_name"
1853
#@ group_variable  io  "xnfin_dff_set_pin_name"
1854
#@ group_variable  io  "xnfin_dff_clock_enable_pin_name"
1855
#@ group_variable  io  "xnfin_dff_data_pin_name"
1856
#@ group_variable  io  "xnfin_dff_clock_pin_name"  ;
1857
#@ group_variable  io  "xnfin_dff_q_pin_name";
1858
#@ group_variable  io  "sdfin_min_rise_net_delay" ;
1859
#@ group_variable  io  "sdfin_min_fall_net_delay" ;
1860
#@ group_variable  io  "sdfin_min_rise_cell_delay" ;
1861
#@ group_variable  io  "sdfin_min_fall_cell_delay" ;
1862
#@ group_variable  io  "sdfin_rise_net_delay_type" ;
1863
#@ group_variable  io  "sdfin_fall_net_delay_type" ;
1864
#@ group_variable  io  "sdfin_rise_cell_delay_type" ;
1865
#@ group_variable  io  "sdfin_fall_cell_delay_type" ;
1866
#@ group_variable  io  "sdfin_top_instance_name" ;
1867
#@ group_variable  io  "sdfout_time_scale" ;
1868
#@ group_variable  io  "sdfout_write_to_output" ;
1869
#@ group_variable  io  "sdfout_top_instance_name" ;
1870
#@ group_variable  io  "sdfout_min_rise_net_delay" ;
1871
#@ group_variable  io  "sdfout_min_fall_net_delay" ;
1872
#@ group_variable  io  "sdfout_min_rise_cell_delay" ;
1873
#@ group_variable  io  "sdfout_min_fall_cell_delay" ;
1874
#@ group_variable  io  "read_db_lib_warnings" ;
1875
#@ group_variable  io  "read_translate_msff" ;
1876
#@ group_variable  io  "libgen_max_differences" ;
1877
#@ group_variable  io "read_name_mapping_nowarn_libraries"  ;
1878
#@ group_variable  io "write_name_mapping_nowarn_libraries"  ;
1879
#@
1880
#@
1881
#@ # "edif" variables are used by the EDIF format read, read_lib, write,
1882
#@ #        and write_lib commands
1883
#@ group_variable  edif  "bus_dimension_separator_style"  ;
1884
#@ group_variable  edif  "bus_extraction_style"  ;
1885
#@ group_variable  edif  "bus_inference_descending_sort"  ;
1886
#@ group_variable  edif  "bus_inference_style"  ;
1887
#@ group_variable  edif  "bus_naming_style"  ;
1888
#@ group_variable  edif  "bus_range_separator_style"  ;
1889
#@ group_variable  edif  "edifin_autoconnect_offpageconnectors"  ;
1890
#@ group_variable  edif  "edifin_autoconnect_ports"  ;
1891
#@ group_variable  edif  "edifin_delete_empty_cells"  ;
1892
#@ group_variable  edif  "edifin_delete_ripper_cells"  ;
1893
#@ group_variable  edif  "edifin_ground_net_name"  ;
1894
#@ group_variable  edif  "edifin_ground_net_property_name"  ;
1895
#@ group_variable  edif  "edifin_ground_net_property_value"  ;
1896
#@ group_variable  edif  "edifin_ground_port_name"  ;
1897
#@ group_variable  edif  "edifin_instance_property_name"  ;
1898
#@ group_variable  edif  "edifin_portinstance_disabled_property_name"  ;
1899
#@ group_variable  edif  "edifin_portinstance_disabled_property_value"  ;
1900
#@ group_variable  edif  "edifin_portinstance_property_name"  ;
1901
#@ group_variable  edif  "edifin_power_net_name"  ;
1902
#@ group_variable  edif  "edifin_power_net_property_name"  ;
1903
#@ group_variable  edif  "edifin_power_net_property_value"  ;
1904
#@ group_variable  edif  "edifin_power_port_name"  ;
1905
#@ group_variable  edif  "edifin_use_identifier_in_rename"  ;
1906
#@ group_variable  edif  "edifin_view_identifier_property_name"  ;
1907
#@ group_variable  edif  "edifin_dc_script_flag"  ;
1908
#@ group_variable  edif  "edifin_lib_logic_1_symbol"  ;
1909
#@ group_variable  edif  "edifin_lib_logic_0_symbol"  ;
1910
#@ group_variable  edif  "edifin_lib_in_port_symbol"  ;
1911
#@ group_variable  edif  "edifin_lib_out_port_symbol"  ;
1912
#@ group_variable  edif  "edifin_lib_inout_port_symbol"  ;
1913
#@ group_variable  edif  "edifin_lib_in_osc_symbol"  ;
1914
#@ group_variable  edif  "edifin_lib_out_osc_symbol"  ;
1915
#@ group_variable  edif  "edifin_lib_inout_osc_symbol"  ;
1916
#@ group_variable  edif  "edifin_lib_mentor_netcon_symbol"  ;
1917
#@ group_variable  edif  "edifin_lib_ripper_bits_property"  ;
1918
#@ group_variable  edif  "edifin_lib_ripper_bus_end"  ;
1919
#@ group_variable  edif  "edifin_lib_ripper_cell_name"  ;
1920
#@ group_variable  edif  "edifin_lib_ripper_view_name"  ;
1921
#@ group_variable  edif  "edifin_lib_route_grid"  ;
1922
#@ group_variable  edif  "edifin_lib_templates"  ;
1923
#@ group_variable  edif  "edifout_dc_script_flag"  ;
1924
#@ group_variable  edif  "edifout_design_name"  ;
1925
#@ group_variable  edif  "edifout_designs_library_name"  ;
1926
#@ group_variable  edif  "edifout_display_instance_names"  ;
1927
#@ group_variable  edif  "edifout_display_net_names"  ;
1928
#@ group_variable  edif  "edifout_external"  ;
1929
#@ group_variable  edif  "edifout_external_graphic_view_name" ;
1930
#@ group_variable  edif  "edifout_external_netlist_view_name" ;
1931
#@ group_variable  edif "edifout_external_schematic_view_name" ;
1932
#@ group_variable  edif  "edifout_ground_name" ;
1933
#@ group_variable  edif  "edifout_ground_net_name" ;
1934
#@ group_variable  edif  "edifout_ground_net_property_name" ;
1935
#@ group_variable  edif  "edifout_ground_net_property_value" ;
1936
#@ group_variable  edif  "edifout_ground_pin_name" ;
1937
#@ group_variable  edif  "edifout_ground_port_name" ;
1938
#@ group_variable  edif  "edifout_instance_property_name" ;
1939
#@ group_variable  edif  "edifout_instantiate_ports" ;
1940
#@ group_variable  edif  "edifout_library_graphic_view_name" ;
1941
#@ group_variable  edif  "edifout_library_netlist_view_name" ;
1942
#@ group_variable  edif  "edifout_library_schematic_view_name" ;
1943
#@ group_variable  edif  "edifout_merge_libraries" ;
1944
#@ group_variable  edif  "edifout_multidimension_arrays" ;
1945
#@ group_variable  edif  "edifout_name_oscs_different_from_ports" ;
1946
#@ group_variable  edif  "edifout_name_rippers_same_as_wires" ;
1947
#@ group_variable  edif  "edifout_netlist_only" ;
1948
#@ group_variable  edif  "edifout_no_array" ;
1949
#@ group_variable  edif  "edifout_numerical_array_members" ;
1950
#@ group_variable  edif  "edifout_pin_direction_property_name" ;
1951
#@ group_variable  edif  "edifout_pin_direction_in_value" ;
1952
#@ group_variable  edif  "edifout_pin_direction_inout_value" ;
1953
#@ group_variable  edif  "edifout_pin_direction_out_value" ;
1954
#@ group_variable  edif  "edifout_pin_name_property_name" ;
1955
#@ group_variable  edif  "edifout_portinstance_disabled_property_name" ;
1956
#@ group_variable  edif  "edifout_portinstance_disabled_property_value" ;
1957
#@ group_variable  edif  "edifout_portinstance_property_name"
1958
#@ group_variable  edif  "edifout_power_and_ground_representation"
1959
#@ group_variable  edif  "edifout_power_name"
1960
#@ group_variable  edif  "edifout_power_net_name"
1961
#@ group_variable  edif  "edifout_power_net_property_name"
1962
#@ group_variable  edif  "edifout_power_net_property_value"
1963
#@ group_variable  edif  "edifout_power_pin_name"
1964
#@ group_variable  edif  "edifout_power_port_name"
1965
#@ group_variable  edif  "edifout_skip_port_implementations"
1966
#@ group_variable  edif  "edifout_target_system"
1967
#@ group_variable  edif  "edifout_top_level_symbol"
1968
#@ group_variable  edif  "edifout_translate_origin"
1969
#@ group_variable  edif  "edifout_unused_property_value"
1970
#@ group_variable  edif  "edifout_write_attributes"
1971
#@ group_variable  edif  "edifout_write_constraints"
1972
#@ group_variable  edif  "edifout_write_properties_list"
1973
#@ group_variable  edif  "write_name_nets_same_as_ports"
1974
#@
1975
#@ # "hdl" variables are variables pertaining to hdl reading and optimizing
1976
#@ group_variable hdl "bus_dimension_separator_style"
1977
#@ group_variable hdl "bus_minus_style"
1978
#@ group_variable hdl "bus_naming_style"
1979
#@ group_variable hdl "hdlin_advisor_directory"
1980
#@ group_variable hdl "design_library_file"
1981
#@ group_variable hdl "hdlin_enable_presto"
1982
#@ group_variable hdl "hdlin_infer_enumerated_types"
1983
#@ group_variable hdl "hdlin_optimize_enum_types"
1984
#@ group_variable hdl "hdlin_write_gtech_design_directory"
1985
#@ group_variable hdl "hdlin_enable_analysis_info"
1986
#@ group_variable hdl "hdlin_enable_analysis_info_for_analyze"
1987
#@ group_variable hdl "hdlin_hide_resource_line_numbers"
1988
#@ group_variable hdl "hdlin_auto_save_templates"
1989
#@ group_variable hdl "hdlin_replace_synthetic"
1990
#@ group_variable hdl "hdlin_latch_always_async_set_reset"
1991
#@ group_variable hdl "hdlin_ff_always_sync_set_reset"
1992
#@ group_variable hdl "hdlin_ff_always_async_set_reset"
1993
#@ group_variable hdl "hdlin_keep_feedback"
1994
#@ group_variable hdl "hdlin_keep_inv_feedback"
1995
#@ group_variable hdl "hdlin_check_no_latch"
1996
#@ group_variable hdl "hdlin_report_inferred_modules"
1997
#@ group_variable hdl "hdlin_reg_report_length"
1998
#@ group_variable hdl "hdlin_translate_off_skip_text"
1999
#@ group_variable hdl "hdlin_merge_nested_conditional_statements"
2000
#@ group_variable hdl "hdlin_infer_mux"
2001
#@ group_variable hdl "hdlin_dont_infer_mux_for_resource_sharing"
2002
#@ group_variable hdl "hdlin_mux_oversize_ratio"
2003
#@ group_variable hdl "hdlin_mux_size_limit"
2004
#@ group_variable hdl "hdlin_infer_multibit"
2005
#@ group_variable hdl "hdlin_enable_vpp"
2006
#@ group_variable hdl "hdlin_preserve_vpp_files"
2007
#@ group_variable hdl "hdlin_vpp_temporary_directory"
2008
#@ group_variable hdl "hdl_preferred_license"
2009
#@ group_variable hdl "hdl_keep_licenses"
2010
#@ group_variable hdl "hlo_resource_allocation"
2011
#@ group_variable hdl "hlo_transform_constant_multiplication"
2012
#@ group_variable hdl "hlo_minimize_tree_delay"
2013
#@ group_variable hdl "hlo_resource_implementation"
2014
#@ group_variable hdl "hlo_share_common_subexpressions"
2015
#@ group_variable hdl "hlo_share_effort"
2016
#@ group_variable hdl "hlo_ignore_priorities"
2017
#@ group_variable hdl "hdl_naming_threshold"
2018
#@ group_variable hdl "template_naming_style"
2019
#@ group_variable hdl "template_parameter_style"
2020
#@ group_variable hdl "template_separator_style"
2021
#@ group_variable hdl "verilogout_equation"
2022
#@ group_variable hdl "verilogout_ignore_case"
2023
#@ group_variable hdl "verilogout_no_tri"
2024
#@ group_variable hdl "verilogout_single_bit"
2025
#@ group_variable hdl "verilogout_higher_designs_first"
2026
#@ group_variable hdl "verilogout_levelize"
2027
#@ group_variable hdl "verilogout_include_files"
2028
#@ group_variable hdl "verilogout_unconnected_prefix"
2029
#@ group_variable hdl "verilogout_show_unconnected_pins"
2030
#@ group_variable hdl "verilogout_no_negative_index"
2031
#@ group_variable hdl "hdlin_dont_check_param_width"
2032
#@ group_variable hdl "hdlin_enable_rtldrc_info"
2033
#@
2034
#@ # "vhdlio" variables are variables pertaining to VHDL generation
2035
#@ group_variable vhdlio "vhdllib_timing_mesg"
2036
#@ group_variable vhdlio "vhdllib_timing_xgen"
2037
#@ group_variable vhdlio "vhdllib_timing_checks"
2038
#@ group_variable vhdlio "vhdllib_negative_constraint"
2039
#@ group_variable vhdlio "vhdllib_pulse_handle"
2040
#@ group_variable vhdlio "vhdllib_glitch_handle"
2041
#@ group_variable vhdlio "vhdllib_architecture"
2042
#@ group_variable vhdlio "vhdllib_tb_compare"
2043
#@ group_variable vhdlio "vhdllib_tb_x_eq_dontcare"
2044
#@ group_variable vhdlio "vhdllib_logic_system"
2045
#@ group_variable vhdlio "vhdllib_logical_name"
2046
#@
2047
#@ group_variable vhdlio "vhdlout_architecture_name"
2048
#@ group_variable vhdlio "vhdlout_bit_type"
2049
#@ group_variable vhdlio "vhdlout_bit_type_resolved"
2050
#@ group_variable vhdlio "vhdlout_bit_vector_type"
2051
#@ group_variable vhdlio "vhdlout_conversion_functions"
2052
#@ group_variable vhdlio "vhdlout_dont_write_types"
2053
#@ group_variable vhdlio "vhdlout_equations"
2054
#@ group_variable vhdlio "vhdlout_one_name"
2055
#@ group_variable vhdlio "vhdlout_package_naming_style"
2056
#@ group_variable vhdlio "vhdlout_preserve_hierarchical_types"
2057
#@ group_variable vhdlio "vhdlout_separate_scan_in"
2058
#@ group_variable vhdlio "vhdlout_single_bit"
2059
#@ group_variable vhdlio "vhdlout_target_simulator"
2060
#@ group_variable vhdlio "vhdlout_top_configuration_arch_name"
2061
#@ group_variable vhdlio "vhdlout_top_configuration_entity_name"
2062
#@ group_variable vhdlio "vhdlout_top_configuration_name"
2063
#@ group_variable vhdlio "vhdlout_three_state_name"
2064
#@ group_variable vhdlio "vhdlout_three_state_res_func"
2065
#@ group_variable vhdlio "vhdlout_time_scale"
2066
#@ group_variable vhdlio "vhdlout_unknown_name"
2067
#@ group_variable vhdlio "vhdlout_use_packages"
2068
#@ group_variable vhdlio "vhdlout_wired_and_res_func"
2069
#@ group_variable vhdlio "vhdlout_wired_or_res_func"
2070
#@ group_variable vhdlio "vhdlout_write_architecture"
2071
#@ group_variable vhdlio "vhdlout_write_entity"
2072
#@ group_variable vhdlio "vhdlout_write_top_configuration"
2073
#@ group_variable vhdlio "vhdlout_synthesis_off"
2074
#@ group_variable vhdlio "vhdlout_write_components"
2075
#@ group_variable vhdlio "vhdlout_zero_name"
2076
#@ group_variable vhdlio "vhdlout_levelize"
2077
#@ group_variable vhdlio "vhdlout_dont_create_dummy_nets"
2078
#@ group_variable vhdlio "vhdlout_follow_vector_direction"
2079
#@
2080
#@ # "plot" variables are used by the plot command and by
2081
#@ #  the plotting function in the schematic viewer
2082
#@ #
2083
#@ group_variable plot "plot_box"
2084
#@ group_variable plot "plot_command"
2085
#@ group_variable plot "plot_orientation"
2086
#@ group_variable plot "plot_scale_factor"
2087
#@ group_variable plot "plotter_maxx"
2088
#@ group_variable plot "plotter_maxy"
2089
#@ group_variable plot "plotter_minx"
2090
#@ group_variable plot "plotter_miny"
2091
#@
2092
#@ # "suffix" variables are used to find the suffixes of different file types
2093
#@ group_variable suffix "view_execute_script_suffix"
2094
#@ group_variable suffix "view_read_file_suffix"
2095
#@ group_variable suffix "view_analyze_file_suffix"
2096
#@ group_variable suffix "view_write_file_suffix"
2097
#@
2098
#@ # "bc" variables are used by BC to control scheduling behavior
2099
#@ #group_variable bc {bc_preserved_functions_map_effort}
2100
#@ group_variable bc {bc_enable_analysis_info}
2101
#@ group_variable bc {bc_enable_chaining}
2102
#@ group_variable bc {bc_enable_multi_cycle}
2103
#@ group_variable bc {bc_time_all_sequential_op_bindings}
2104
#@ group_variable bc {bc_enable_speculative_execution}
2105
#@ group_variable bc {bc_fsm_coding_style}
2106
#@
2107
#@ group_variable bc {bc_allow_shared_memories}
2108
#@ group_variable bc {bc_chain_read_into_mem}
2109
#@ group_variable bc {bc_chain_read_into_oper}
2110
#@ # Ki-Seok: removed (Jan. 1999): group_variable bc {bc_connect_reset}
2111
#@ group_variable bc {bc_constrain_signal_memories}
2112
#@ group_variable bc {bc_detect_memory_accesses}
2113
#@ group_variable bc {bc_detect_array_accesses}
2114
#@ group_variable bc {bc_estimate_mux_input}
2115
#@ group_variable bc {bc_estimate_timing_effort}
2116
#@ # Ki-Seok: removed (Jan. 1999): group_variable bc {bc_no_reset_on_datapath}
2117
#@ group_variable bc {bc_report_filter}
2118
#@ # Ki-Seok: removed: group_variable bc {bc_clears_all_registers}
2119
#@ group_variable bc {bc_group_eql_logic}
2120
#@ group_variable bc {bc_group_index_logic}
2121
#@ group_variable bc {bc_use_registerfiles}
2122
#@
2123
#@ group_variable bc {vhdlout_debug_mode}
2124
#@ group_variable bc {verilogout_debug_mode}
2125
#@
2126
#@ # Ki-Seok: Added bc_synrtl variables.
2127
#@ group_variable bc {bc_synrtl_map_to_gtech}
2128
#@ group_variable bc {bc_synrtl_write_precompiled_designware}
2129
#@ group_variable bc {bc_synrtl_write_preserved_functions}
2130
#@ group_variable bc {bc_synrtl_write_dcsh_and_dctcl}
2131
#@
2132
#@ # Meenakshi: Added bc_add_io_trace variable.
2133
#@ group_variable bc {bc_add_io_trace}
2134
#@
2135
#@ # Meenakshi: Added new group scc (for SystemC compiler)
2136
#@ group_variable scc {systemcout_levelize}
2137
#@ group_variable scc {systemcout_debug_mode}
2138
#@
2139
#@ # "power" variables are for power-analysis.
2140
#@ group_variable power {power_keep_license_after_power_commands}
2141
#@ group_variable power {power_preserve_rtl_hier_names}
2142
#@ group_variable power {power_do_not_size_icg_cells}
2143
#@ group_variable power {power_hdlc_do_not_split_cg_cells}
2144
#@ group_variable power {power_rtl_saif_file}
2145
#@ group_variable power {power_sdpd_saif_file}
2146
#@
2147
#@ # dpcm variables are used by DPCM lib and controllong DC when using DPCM
2148
#@
2149
#@ if { [info exists dpcm_debuglevel] } {
2150
#@   group_variable dpcm "dpcm_debuglevel"
2151
#@   group_variable dpcm "dpcm_rulespath"
2152
#@   group_variable dpcm "dpcm_rulepath"
2153
#@   group_variable dpcm "dpcm_tablepath"
2154
#@   group_variable dpcm "dpcm_libraries"
2155
#@   group_variable dpcm "dpcm_version"
2156
#@   group_variable dpcm "dpcm_level"
2157
#@   group_variable dpcm "dpcm_temperaturescope"
2158
#@   group_variable dpcm "dpcm_voltagescope"
2159
#@   group_variable dpcm "dpcm_functionscope"
2160
#@   group_variable dpcm "dpcm_wireloadscope"
2161
#@   group_variable dpcm "dpcm_slewlimit"
2162
#@   group_variable dpcm "dpcm_arc_sense_mapping"
2163
#@
2164
#@ }
2165
#@
2166
#@ set dpcm_slewlimit "TRUE"
2167
#@
2168
#@ # executable to fire off RTLA/BCV
2169
#@ group_variable hdl {xterm_executable}
2170
#@ group_variable bc {xterm_executable}
2171
#@
2172
#@ # Variable group for Chip Compiler
2173
#@ if {[info exists acs_work_dir]} {
2174
#@   group_variable acs acs_work_dir
2175
#@   group_variable acs acs_hdl_source
2176
#@   group_variable acs acs_verilog_extensions
2177
#@   group_variable acs acs_vhdl_extensions
2178
#@   group_variable acs acs_exclude_extensions
2179
#@   group_variable acs acs_exclude_list
2180
#@   group_variable acs acs_area_report_suffix
2181
#@   group_variable acs acs_budgeted_cstr_suffix
2182
#@   group_variable acs acs_compile_script_suffix
2183
#@   group_variable acs acs_constraint_file_suffix
2184
#@   group_variable acs acs_cstr_report_suffix
2185
#@   group_variable acs acs_db_suffix
2186
#@   group_variable acs acs_log_file_suffix
2187
#@   group_variable acs acs_makefile_name
2188
#@   group_variable acs acs_override_script_suffix
2189
#@   group_variable acs acs_override_report_suffix
2190
#@   group_variable acs acs_qor_report_suffix
2191
#@   group_variable acs acs_timing_report_suffix
2192
#@   group_variable acs acs_user_compile_strategy_script_suffix
2193
#@   group_variable acs acs_global_user_compile_strategy_script
2194
#@   group_variable acs acs_budget_script_file_suffix
2195
#@   group_variable acs acs_budget_output_file_suffix
2196
#@   group_variable acs acs_use_lsf
2197
#@   group_variable acs acs_script_mode
2198
#@   group_variable acs acs_num_parallel_jobs
2199
#@   group_variable acs acs_user_budgeting_script
2200
#@   group_variable acs acs_bs_exec
2201
#@   group_variable acs acs_tr_exec
2202
#@   group_variable acs acs_dc_exec
2203
#@   group_variable acs acs_use_default_delays
2204
#@   group_variable acs acs_use_dc_gate_level_budgeting
2205
#@   group_variable acs acs_use_autopartition
2206
#@   group_variable acs check_error_list
2207
#@ }
2208
#@
2209
#@ # eco group includes variables related to ECO Compiler
2210
#@ group_variable eco "eco_align_design_verbose"
2211
#@ group_variable eco "eco_allow_register_type_difference"
2212
#@ group_variable eco "eco_connect_resource_cell_inputs"
2213
#@ group_variable eco "eco_correspondence_analysis_verbose"
2214
#@ group_variable eco "eco_directives_verbose"
2215
#@ group_variable eco "eco_implement_effort_level"
2216
#@ group_variable eco "eco_instance_name_prefix"
2217
#@ group_variable eco "eco_recycle_verbose"
2218
#@ group_variable eco "eco_remap_register_verbose"
2219
#@ group_variable eco "eco_reuse_verbose"
2220
#@
2221
#@ # Aliases for backwards compatibility or other reasons
2222
#@ group_variable compile  {compile_log_format}
2223
#@ alias view_cursor_number x11_set_cursor_number
2224
#@ alias set_internal_load set_load
2225
#@ alias set_internal_arrival set_arrival
2226
#@ alias set_connect_delay    "set_annotated_delay -net"
2227
#@ alias create_test_vectors  create_test_patterns
2228
#@ alias compile_test         insert_test
2229
#@ alias check_clocks      check_timing
2230
#@ alias lint              check_design
2231
#@ alias verify            compare_design
2232
#@ alias gen               create_schematic
2233
#@ alias free              remove_design
2234
#@ alias group_bus         create_bus
2235
#@ alias ungroup_bus       remove_bus
2236
#@ alias groupvar          group_variable
2237
#@ alias report_constraints report_constraint
2238
#@ alias report_attributes report_attribute
2239
#@ alias fsm_reduce        reduce_fsm
2240
#@ alias fsm_minimize      minimize_fsm
2241
#@ alias disable_timing    set_disable_timing
2242
#@ alias dont_touch        set_dont_touch
2243
#@ alias dont_touch_network set_dont_touch_network
2244
#@ alias dont_use          set_dont_use
2245
#@ alias fix_hold          set_fix_hold
2246
#@ alias prefer            set_prefer
2247
#@ alias remove_package "echo remove_package command is obsolete: packages are stored on disk not in-memory:"
2248
#@ alias analyze_scan      preview_scan
2249
#@
2250
#@ # Enable unsupported psyn commands
2251
#@ if { $synopsys_program_name == "psyn_shell" } {
2252
#@   proc enable_unsupported_commands { { arg "default" } } {
2253
#@     global cgpi_use_new_wire_factors
2254
#@     global cgpi_use_relative_wire_factors
2255
#@     global cgpi_use_new_path_factors
2256
#@     global pwlm_use_new_wire_factors
2257
#@     global pwlm_use_relative_wire_factors
2258
#@     global pwlm_use_new_path_factors
2259
#@     global psyn_unsupported_commands_dir
2260
#@     global synopsys_root
2261
#@     if {![info exists psyn_unsupported_commands_dir]} {
2262
#@       set psyn_unsupported_commands_dir $synopsys_root/auxx/syn/psyn/unsupported_commands
2263
#@     }
2264
#@     set psyn_unsupported_commands_option1 $arg
2265
#@     if {[file readable $psyn_unsupported_commands_dir/setup.tcl]} {
2266
#@       source $psyn_unsupported_commands_dir/setup.tcl
2267
#@     } else {
2268
#@       source -encrypted $psyn_unsupported_commands_dir/setup.tcl.e
2269
#@     }
2270
#@   }
2271
#@ }
2272
#@
2273
#@
2274
#@ # The ls command is gone, now it is just an alias for dc_shell eqn mode
2275
#@
2276
#@ if { [string compare $dc_shell_mode "default"] == 0 } {
2277
#@   if {  ( $sh_arch == {mips}) && ( ( $synopsys_program_name == {design_analyzer}) || ( $isatty == 0)) } {
2278
#@     alias ls "sh ls -a "
2279
#@   } else {
2280
#@    if {  ( $sh_arch == {mips}) || ( $sh_arch == {necmips}) } {
2281
#@       alias ls "sh ls -aC "
2282
#@    } else {
2283
#@       alias ls "sh ls -aC "
2284
#@    }
2285
#@   }
2286
#@ }
2287
#@
2288
#@ #/* Aliases added for report command */
2289
#@ alias report_clock_constraint   "report_timing -path end -to all_registers(-data_pins)"
2290
#@ alias report_clock_fanout         "report_transitive_fanout -clock_tree"
2291
#@ alias report_clocks             report_clock
2292
#@ alias report_register           "report_timing_requirements;report_clock -skew"
2293
#@ alias report_synthetic          report_cell
2294
#@
2295
#@ # Alias added for Ultra backward compatibility mode
2296
#@ alias set_ultra_mode          set_ultra_optimization
2297
#@
2298
#@ # alias for write_sge and menu item in DA for db2sge
2299
#@
2300
#@ if { [string compare $dc_shell_mode "tcl"] == 0 } {
2301
#@   set db2sge_script ${synopsys_root}/admin/setup/.dc_write_sge.tcl
2302
#@ } else {
2303
#@   set db2sge_script ${synopsys_root}/admin/setup/.dc_write_sge
2304
#@ }
2305
#@
2306
#@ set db2sge_command ${synopsys_root}/${sh_arch}/syn/bin/db2sge
2307
#@
2308
#@ #Don't change the view_script_submenu_items to make it work for both modes.
2309
#@ # fix star 107079 by "DA to SGE Transfer" from menu -- sherwood 07/27/00
2310
#@ if { $synopsys_program_name != "design_vision" && $synopsys_program_name != "psyn_gui" } {
2311
#@   set view_script_submenu_items "\"DA to SGE Transfer\" write_sge"
2312
#@ }
2313
#@
2314
#@
2315
#@ # read schematic annotation setup file
2316
#@ source ${synopsys_root}/admin/setup/.dc_annotate
2317
#@ annotate -value "@name" -object_type CELL -layer cell_name_layer -x "ANN_LEFT / 2 + ANN_RIGHT / 2" -y "ANN_TOP / 2 + ANN_BOTTOM / 2 - ANN_MAX_TEXT_HEIGHT" -name "cell_name" -justify "CENTER"
2318
#@
2319
#@ annotate -value "ANN_CELL_REF_NAME" -object_type CELL -layer cell_ref_name_layer -x "ANN_LEFT / 2 + ANN_RIGHT / 2" -y "ANN_TOP / 2 + ANN_BOTTOM / 2" -name "cell_ref_name" -justify "CENTER"
2320
#@
2321
#@ annotate -value "@cell_property" -object_type CELL -layer cell_property_layer -x "ANN_LEFT / 2 + ANN_RIGHT / 2" -y "ANN_TOP / 2 + ANN_BOTTOM / 2 + ANN_MAX_TEXT_HEIGHT" -name "cell_property" -justify "CENTER"
2322
#@
2323
#@ annotate -value "ANN_PORT_NAME" -object_type PORT -layer port_name_layer -filter "ANN_ROTATION == \"RIGHT\"" -x "ANN_RIGHT + ANN_ROUTE_GRID / 2" -y "ANN_TOP / 2 + ANN_BOTTOM / 2 - ANN_MAX_TEXT_HEIGHT / 2" -name "port_name_right"
2324
#@
2325
#@ annotate -value "ANN_PORT_NAME" -object_type PORT -layer port_name_layer -filter "ANN_ROTATION == \"LEFT\"" -x "ANN_LEFT - ANN_ROUTE_GRID / 2" -y "ANN_TOP / 2 + ANN_BOTTOM / 2 - ANN_MAX_TEXT_HEIGHT / 2" -name "port_name_left" -justify "RIGHT"
2326
#@
2327
#@ annotate -value "ANN_PORT_NAME" -object_type BUS_PORT -layer bus_port_name_layer -filter "ANN_ROTATION == \"RIGHT\"" -x "ANN_RIGHT + ANN_ROUTE_GRID / 2" -y "ANN_TOP / 2 + ANN_BOTTOM / 2 - ANN_MAX_TEXT_HEIGHT / 2" -name "bus_port_name_right"
2328
#@
2329
#@ annotate -value "ANN_PORT_NAME" -object_type BUS_PORT -layer bus_port_name_layer -filter "ANN_ROTATION == \"LEFT\"" -x "ANN_LEFT - ANN_ROUTE_GRID / 2" -y "ANN_TOP / 2 + ANN_BOTTOM / 2 - ANN_MAX_TEXT_HEIGHT / 2" -name "bus_port_name_left" -justify "RIGHT"
2330
#@
2331
#@ annotate -value "ANN_OSC_NAME" -object_type OSC -layer osc_name_layer -filter "ANN_ROTATION == \"RIGHT\"" -x "ANN_RIGHT + ANN_ROUTE_GRID / 2" -y "ANN_TOP / 2 + ANN_BOTTOM / 2 - ANN_MAX_TEXT_HEIGHT / 2" -name "osc_name_right"
2332
#@
2333
#@ annotate -value "ANN_OSC_NAME" -object_type OSC -layer osc_name_layer -filter "ANN_ROTATION == \"LEFT\"" -x "ANN_LEFT - ANN_ROUTE_GRID / 2" -y "ANN_TOP / 2 + ANN_BOTTOM / 2 - ANN_MAX_TEXT_HEIGHT / 2" -name "osc_name_left" -justify "RIGHT"
2334
#@
2335
#@ annotate -value "ANN_OSC_NAME" -object_type BUS_OSC -layer bus_osc_name_layer -filter "ANN_ROTATION == \"RIGHT\"" -x "ANN_RIGHT + ANN_ROUTE_GRID / 2" -y "ANN_TOP / 2 + ANN_BOTTOM / 2 - ANN_MAX_TEXT_HEIGHT / 2" -name "bus_osc_name_right"
2336
#@
2337
#@ annotate -value "ANN_OSC_NAME" -object_type BUS_OSC -layer bus_osc_name_layer -filter "ANN_ROTATION == \"LEFT\"" -x "ANN_LEFT - ANN_ROUTE_GRID / 2" -y "ANN_TOP / 2 + ANN_BOTTOM / 2 - ANN_MAX_TEXT_HEIGHT / 2" -name "bus_osc_name_left" -justify "RIGHT"
2338
#@
2339
#@ annotate -value "ANN_PIN_NAME" -object_type PIN -layer pin_name_layer -filter "ANN_ROTATION == \"RIGHT\" && ANN_IS_RIPPER_CELL == \"FALSE\"" -x "ANN_MAX_TEXT_WIDTH / 5" -y "ANN_MAX_TEXT_HEIGHT / 5" -name "pin_name_right"
2340
#@
2341
#@ annotate -value "ANN_PIN_NAME" -object_type PIN -layer pin_name_layer -filter "ANN_ROTATION == \"LEFT\" && ANN_IS_RIPPER_CELL == \"FALSE\"" -x "ANN_MAX_TEXT_WIDTH / 5" -y "- ANN_MAX_TEXT_HEIGHT / 2" -name "pin_name_left"
2342
#@
2343
#@ annotate -value "ANN_PIN_NAME" -object_type PIN -layer ripper_pin_name_layer -filter "ANN_ROTATION == \"RIGHT\" && ANN_IS_RIPPER_CELL == \"TRUE\"" -x "ANN_MAX_TEXT_WIDTH / 5" -y "ANN_MAX_TEXT_HEIGHT / 5" -name "ripper_pin_name_right"
2344
#@
2345
#@ annotate -value "ANN_PIN_NAME" -object_type PIN -layer ripper_pin_name_layer -filter "ANN_ROTATION == \"LEFT\" && ANN_IS_RIPPER_CELL == \"TRUE\"" -x "ANN_MAX_TEXT_WIDTH / 5" -y "- ANN_MAX_TEXT_HEIGHT / 2" -name "ripper_pin_name_left"
2346
#@
2347
#@ annotate -value "@pin_properties" -object_type PIN -layer pin_properties_layer -x "- ANN_MAX_TEXT_WIDTH / 5" -y "ANN_MAX_TEXT_HEIGHT / 5" -justify "RIGHT" -name "pin_properties"
2348
#@
2349
#@ annotate -value "ANN_PIN_NAME" -object_type BUS_PIN -layer bus_pin_name_layer -filter "ANN_ROTATION == \"RIGHT\"" -x "ANN_MAX_TEXT_WIDTH / 5" -y "ANN_MAX_TEXT_HEIGHT / 5" -name "bus_pin_name_right"
2350
#@
2351
#@ annotate -value "ANN_PIN_NAME" -object_type BUS_PIN -layer bus_pin_name_layer -filter "ANN_ROTATION == \"LEFT\"" -x "ANN_MAX_TEXT_WIDTH / 5" -y "- ANN_MAX_TEXT_HEIGHT / 2" -name "bus_pin_name_left"
2352
#@
2353
#@ annotate -value "ANN_RIPPER_NAME" -object_type RIPPER -layer bus_ripper_name_layer -y "ANN_BOTTOM - ANN_MAX_TEXT_HEIGHT" -name "ripper_name" -justify "CENTER"
2354
#@ # -- End source /usr/synopsys/synthesis/admin/setup/.dc_annotate
2355
 
2356
#@
2357
#@ # setup the default layer settings
2358
#@ source ${synopsys_root}/admin/setup/.dc_layers
2359
#@ set_layer  designware_layer  line_width  400  ;
2360
#@ set_layer  designware_layer  line_max  4  ;
2361
#@ set_layer  designware_layer  scalable_lines  true  ;
2362
#@ set_layer  designware_layer  red  65535  ;
2363
#@ set_layer  designware_layer  green  0  ;
2364
#@ set_layer  designware_layer  blue  0  ;
2365
#@ set_layer  designware_name_layer  red  65535  ;
2366
#@ set_layer  designware_name_layer  green  65535  ;
2367
#@ set_layer  designware_name_layer  blue  65535  ;
2368
#@ set_layer  designware_name_layer visible  true ;
2369
#@
2370
#@ set_layer  hierarchy_layer  line_width  2  ;
2371
#@ set_layer  cell_layer  line_width  200  ;
2372
#@ set_layer  bus_cell_layer  line_width  400  ;
2373
#@ set_layer  symbol_layer  line_width  200  ;
2374
#@ set_layer  bus_ripper_layer  line_width  200  ;
2375
#@ set_layer  osc_layer  line_width  200  ;
2376
#@ set_layer  port_layer  line_width  200  ;
2377
#@ set_layer  bus_osc_layer  line_width  400  ;
2378
#@ set_layer  bus_port_layer  line_width  400  ;
2379
#@ set_layer  cell_layer  line_max  3  ;
2380
#@ set_layer  bus_cell_layer  line_max  4  ;
2381
#@ set_layer  bus_cell_layer  line_min  2  ;
2382
#@ set_layer  symbol_layer  line_max  3  ;
2383
#@ set_layer  bus_ripper_layer  line_max  3  ;
2384
#@ set_layer  osc_layer  line_max  2  ;
2385
#@ set_layer  port_layer  line_max  2  ;
2386
#@ set_layer  bus_osc_layer  line_max  4  ;
2387
#@ set_layer  bus_port_layer  line_max  4  ;
2388
#@ set_layer  bus_osc_layer  line_min  2  ;
2389
#@ set_layer  bus_port_layer  line_min  2  ;
2390
#@ set_layer  net_layer  line_width  1  ;
2391
#@ set_layer  bus_net_layer  line_width  3  ;
2392
#@
2393
#@ set_layer  hierarchy_layer scalable_lines  false  ;
2394
#@ set_layer  cell_layer  scalable_lines  true  ;
2395
#@ set_layer  bus_cell_layer  scalable_lines  true  ;
2396
#@ set_layer  symbol_layer  scalable_lines  true  ;
2397
#@ set_layer  bus_ripper_layer  scalable_lines  true  ;
2398
#@ set_layer  osc_layer  scalable_lines  true  ;
2399
#@ set_layer  port_layer  scalable_lines  true  ;
2400
#@ set_layer  bus_osc_layer  scalable_lines  true  ;
2401
#@ set_layer  bus_port_layer  scalable_lines  true  ;
2402
#@ set_layer  net_layer  scalable_lines  false  ;
2403
#@ set_layer  bus_net_layer  scalable_lines  false  ;
2404
#@
2405
#@ set_layer  template_layer  scalable_lines  false  ;
2406
#@ set_layer  template_layer  line_width  3  ;
2407
#@ set_layer  template_layer  red  0  ;
2408
#@ set_layer  template_layer  green  45875  ;
2409
#@ set_layer  template_layer  blue  45875  ;
2410
#@ set_layer  template_text_layer  green  65535  ;
2411
#@ set_layer  template_text_layer  red  65535  ;
2412
#@ set_layer  template_text_layer  blue  65535  ;
2413
#@
2414
#@ set_layer  net_layer  red  0  ;
2415
#@ set_layer  net_layer  green  65535  ;
2416
#@ set_layer  net_layer  blue  65535  ;
2417
#@ set_layer  bus_net_layer  red  0  ;
2418
#@ set_layer  bus_net_layer  green  38666  ;
2419
#@ set_layer  bus_net_layer  blue  65535  ;
2420
#@ set_layer  net_name_layer  red  65535  ;
2421
#@ set_layer  net_name_layer  green  65535  ;
2422
#@ set_layer  net_name_layer  blue  65535  ;
2423
#@ set_layer  symbol_name_layer  red  65535  ;
2424
#@ set_layer  symbol_name_layer  green  65535  ;
2425
#@ set_layer  symbol_name_layer  blue  65535  ;
2426
#@ set_layer  hierarchy_name_layer  red  65535  ;
2427
#@ set_layer  hierarchy_name_layer  green  65535  ;
2428
#@ set_layer  hierarchy_name_layer  blue  65535  ;
2429
#@ set_layer  bus_osc_name_layer  red  65535  ;
2430
#@ set_layer  bus_osc_name_layer  green  65535  ;
2431
#@ set_layer  bus_osc_name_layer  blue  65535  ;
2432
#@ set_layer  bus_port_name_layer  red  65535  ;
2433
#@ set_layer  bus_port_name_layer  green  65535  ;
2434
#@ set_layer  bus_port_name_layer  blue  65535  ;
2435
#@ set_layer  bus_cell_name_layer  red  65535  ;
2436
#@ set_layer  bus_cell_name_layer  green  65535  ;
2437
#@ set_layer  bus_cell_name_layer  blue  65535  ;
2438
#@ set_layer  bus_ripper_name_layer  red  65535  ;
2439
#@ set_layer  bus_ripper_name_layer  green  65535  ;
2440
#@ set_layer  bus_ripper_name_layer  blue  65535  ;
2441
#@ set_layer  bit_mapper_name_layer  red  65535  ;
2442
#@ set_layer  bit_mapper_name_layer  green  65535  ;
2443
#@ set_layer  bit_mapper_name_layer  blue  65535  ;
2444
#@ set_layer  bus_net_name_layer  red  65535  ;
2445
#@ set_layer  bus_net_name_layer  green  65535  ;
2446
#@ set_layer  bus_net_name_layer  blue  65535  ;
2447
#@ set_layer  bus_compound_name_layer  red  65535  ;
2448
#@ set_layer  bus_compound_name_layer  green  65535  ;
2449
#@ set_layer  bus_compound_name_layer  blue  65535  ;
2450
#@ set_layer  cell_layer  red  65535  ;
2451
#@ set_layer  cell_layer  green   65535  ;
2452
#@ set_layer  cell_layer  blue   0  ;
2453
#@ set_layer  bus_cell_layer  red  0  ;
2454
#@ set_layer  bus_cell_layer  green  65535  ;
2455
#@ set_layer  bus_cell_layer  blue  65535  ;
2456
#@ set_layer  hierarchy_layer  red  65535  ;
2457
#@ set_layer  hierarchy_layer  green   65535  ;
2458
#@ set_layer  hierarchy_layer  blue   0  ;
2459
#@ set_layer  symbol_layer  red  65535  ;
2460
#@ set_layer  symbol_layer  green   65535  ;
2461
#@ set_layer  symbol_layer  blue   0  ;
2462
#@ set_layer  bus_ripper_layer  red  65535  ;
2463
#@ set_layer  bus_ripper_layer  green   65535  ;
2464
#@ set_layer  bus_ripper_layer  blue   0  ;
2465
#@ set_layer  cell_ref_name_layer  red  65535  ;
2466
#@ set_layer  cell_ref_name_layer  green  65535  ;
2467
#@ set_layer  cell_ref_name_layer  blue  65535  ;
2468
#@ set_layer  cell_name_layer  red  65535  ;
2469
#@ set_layer  cell_name_layer  green  65535  ;
2470
#@ set_layer  cell_name_layer  blue  65535  ;
2471
#@ set_layer  port_layer  red  65535  ;
2472
#@ set_layer  port_layer  green   65535  ;
2473
#@ set_layer  port_layer  blue   0  ;
2474
#@ set_layer  bus_osc_layer  red  65535  ;
2475
#@ set_layer  bus_osc_layer  green   65535  ;
2476
#@ set_layer  bus_osc_layer  blue   0  ;
2477
#@ set_layer  bus_port_layer  red  65535  ;
2478
#@ set_layer  bus_port_layer  green   65535  ;
2479
#@ set_layer  bus_port_layer  blue   0  ;
2480
#@ set_layer  port_name_layer  red  65535  ;
2481
#@ set_layer  port_name_layer  green  65535  ;
2482
#@ set_layer  port_name_layer  blue  65535  ;
2483
#@ set_layer  pin_name_layer  red  65535  ;
2484
#@ set_layer  pin_name_layer  green  65535  ;
2485
#@ set_layer  pin_name_layer  blue  65535  ;
2486
#@ set_layer  ripper_pin_name_layer  red  65535  ;
2487
#@ set_layer  ripper_pin_name_layer  green  65535  ;
2488
#@ set_layer  ripper_pin_name_layer  blue  65535  ;
2489
#@ set_layer  bus_pin_name_layer  red  65535  ;
2490
#@ set_layer  bus_pin_name_layer  green  65535  ;
2491
#@ set_layer  bus_pin_name_layer  blue  65535  ;
2492
#@ set_layer  osc_layer  red  65535  ;
2493
#@ set_layer  osc_layer  green   65535  ;
2494
#@ set_layer  osc_layer  blue   0  ;
2495
#@ set_layer  osc_name_layer  red  65535  ;
2496
#@ set_layer  osc_name_layer  green  65535  ;
2497
#@ set_layer  osc_name_layer  blue  65535  ;
2498
#@
2499
#@ set_layer  bus_net_layer  visible  true  ;
2500
#@ set_layer  bus_compound_name_layer  visible   false  ;
2501
#@ set_layer  bus_net_name_layer  visible  false  ;
2502
#@ set_layer  bus_osc_layer  visible  true  ;
2503
#@ set_layer  bus_port_layer  visible  true  ;
2504
#@ set_layer  bus_osc_name_layer  visible  true  ;
2505
#@ set_layer  bus_port_name_layer  visible  true  ;
2506
#@ set_layer  bus_ripper_layer  visible  true  ;
2507
#@ set_layer  bus_ripper_name_layer  visible  true  ;
2508
#@ set_layer  bit_mapper_name_layer  visible  true  ;
2509
#@ set_layer  cell_layer  visible  true  ;
2510
#@ set_layer  cell_name_layer  visible  false  ;
2511
#@ set_layer  cell_ref_name_layer  visible  true  ;
2512
#@ set_layer  bus_cell_layer  visible  true  ;
2513
#@ set_layer  bus_cell_name_layer  visible  true  ;
2514
#@ set_layer  hierarchy_layer  visible  true  ;
2515
#@ set_layer  hierarchy_name_layer  visible  true  ;
2516
#@ set_layer  highlight_layer0  visible  true  ;
2517
#@ set_layer  highlight_layer1  visible  true  ;
2518
#@ set_layer  highlight_layer2  visible  true  ;
2519
#@ set_layer  highlight_layer3  visible  true  ;
2520
#@ set_layer  net_layer  visible  true  ;
2521
#@ set_layer  net_name_layer  visible  false  ;
2522
#@ set_layer  osc_layer  visible  true  ;
2523
#@ set_layer  osc_name_layer  visible  true  ;
2524
#@ set_layer  pin_name_layer  visible  false  ;
2525
#@ set_layer  ripper_pin_name_layer  visible  false  ;
2526
#@ set_layer  bus_pin_name_layer  visible  false  ;
2527
#@ set_layer port_layer  visible  true  ;
2528
#@ set_layer  port_name_layer  visible  true  ;
2529
#@ set_layer  symbol_layer  visible  true  ;
2530
#@ set_layer  symbol_name_layer  visible  true  ;
2531
#@ set_layer  template_layer  visible  true  ;
2532
#@ set_layer  template_text_layer  visible  true  ;
2533
#@ set_layer  variable_layer  visible  false  ;
2534
#@
2535
#@ set_layer  constraint_layer  line_width  50  ;
2536
#@ set_layer  constraint_layer  line_max  3  ;
2537
#@ set_layer  constraint_layer  scalable_lines  true  ;
2538
#@ set_layer  constraint_layer  red  65535  ;
2539
#@ set_layer  constraint_layer  green   0  ;
2540
#@ set_layer  constraint_layer  blue   0  ;
2541
#@ set_layer  constraint_layer  visible  true  ;
2542
#@
2543
#@ set_layer  clock_layer  line_width  50  ;
2544
#@ set_layer  clock_layer  line_max  3  ;
2545
#@ set_layer  clock_layer  scalable_lines  true  ;
2546
#@ set_layer  clock_layer  red  65535  ;
2547
#@ set_layer  clock_layer  green   0  ;
2548
#@ set_layer  clock_layer  blue   0  ;
2549
#@ set_layer  clock_layer  visible  true  ;
2550
#@
2551
#@
2552
#@ #/* The highlight layers are set up differently depending on if we're color */
2553
#@ if { [info exists x11_is_color] } {
2554
#@  if { $x11_is_color == "true"} {
2555
#@
2556
#@ #  /* red */
2557
#@   set_layer  highlight_layer0  visible  true  ;
2558
#@   set_layer  highlight_layer0  line_width  5  ;
2559
#@   set_layer  highlight_layer0  scalable_lines  false  ;
2560
#@   set_layer  highlight_layer0  red  65535  ;
2561
#@   set_layer  highlight_layer0  green  0  ;
2562
#@   set_layer  highlight_layer0  blue  0  ;
2563
#@   set_layer  highlight_layer0  plot_line_width  10  ;
2564
#@
2565
#@ #  /* green */
2566
#@   set_layer  highlight_layer1  visible  true  ;
2567
#@   set_layer  highlight_layer1  line_width  5  ;
2568
#@   set_layer  highlight_layer1  scalable_lines  false  ;
2569
#@   set_layer  highlight_layer1  red  0  ;
2570
#@   set_layer  highlight_layer1  green  65535  ;
2571
#@   set_layer  highlight_layer1  blue  0  ;
2572
#@   set_layer  highlight_layer1  plot_line_width  10  ;
2573
#@
2574
#@ #  /* magenta */
2575
#@   set_layer  highlight_layer2  visible  true  ;
2576
#@   set_layer  highlight_layer2  line_width  5  ;
2577
#@   set_layer  highlight_layer2  scalable_lines  false  ;
2578
#@   set_layer  highlight_layer2  red  65535  ;
2579
#@   set_layer  highlight_layer2  green  0  ;
2580
#@   set_layer  highlight_layer2  blue  65535  ;
2581
#@   set_layer  highlight_layer2  plot_line_width  10  ;
2582
#@
2583
#@ #  /* flesh */
2584
#@   set_layer  highlight_layer3  visible  true  ;
2585
#@   set_layer  highlight_layer3  line_width  5  ;
2586
#@   set_layer  highlight_layer3  scalable_lines  false  ;
2587
#@   set_layer  highlight_layer3  red  65535  ;
2588
#@   set_layer  highlight_layer3  green  50462  ;
2589
#@   set_layer  highlight_layer3  blue  35389  ;
2590
#@   set_layer  highlight_layer3  plot_line_width  10  ;
2591
#@
2592
#@ #  /* yellow */
2593
#@   set_layer  highlight_layer4  visible  true  ;
2594
#@   set_layer  highlight_layer4  line_width  5  ;
2595
#@   set_layer  highlight_layer4  scalable_lines  false  ;
2596
#@   set_layer  highlight_layer4  red  62258  ;
2597
#@   set_layer  highlight_layer4  green  65535  ;
2598
#@   set_layer  highlight_layer4  blue  0  ;
2599
#@   set_layer  highlight_layer4  plot_line_width  10  ;
2600
#@
2601
#@
2602
#@  } else {
2603
#@
2604
#@   set_layer  highlight_layer0  line_width  5  ;
2605
#@   set_layer  highlight_layer0  scalable_lines  false  ;
2606
#@   set_layer  highlight_layer0  red  65535  ;
2607
#@   set_layer  highlight_layer0  green  0  ;
2608
#@   set_layer  highlight_layer0  blue  0  ;
2609
#@   set_layer  highlight_layer0  plot_line_width  10  ;
2610
#@
2611
#@   set_layer  highlight_layer1  line_width  3  ;
2612
#@   set_layer  highlight_layer1  scalable_lines  false  ;
2613
#@   set_layer  highlight_layer1  red  0  ;
2614
#@   set_layer  highlight_layer1  green  65535  ;
2615
#@   set_layer  highlight_layer1  blue  0  ;
2616
#@   set_layer  highlight_layer1  plot_line_width  10  ;
2617
#@
2618
#@   set_layer  highlight_layer2  line_width  5  ;
2619
#@   set_layer  highlight_layer2  scalable_lines  false  ;
2620
#@   set_layer  highlight_layer2  red  65535  ;
2621
#@   set_layer  highlight_layer2  green  0  ;
2622
#@   set_layer  highlight_layer2  blue  0  ;
2623
#@   set_layer  highlight_layer2  plot_line_width  10  ;
2624
#@
2625
#@   set_layer  highlight_layer3  line_width  3  ;
2626
#@   set_layer  highlight_layer3  scalable_lines  false  ;
2627
#@   set_layer  highlight_layer3  red  0  ;
2628
#@   set_layer  highlight_layer3  green  65535  ;
2629
#@   set_layer  highlight_layer3  blue  0  ;
2630
#@   set_layer  highlight_layer3  plot_line_width  10  ;
2631
#@
2632
#@  }
2633
#@ }
2634
#@
2635
#@ # -- End source /usr/synopsys/synthesis/admin/setup/.dc_layers
2636
 
2637
#@
2638
#@
2639
#@ source ${synopsys_root}/admin/setup/.dc_name_rules
2640
#@ #
2641
#@
2642
#@ #    .dc_name_rules Initialization file for
2643
#@
2644
#@ #       Dc_Shell and Design_Analyzer
2645
#@
2646
#@ #    This files defines name rules for target systems. Change_names
2647
#@ #    will use this rules to fix the object names.
2648
#@
2649
#@ #*/
2650
#@
2651
#@ ###/* Name rule for SGE */
2652
#@
2653
#@ define_name_rules sge -special sge -replacement_char "x"
2654
#@ define_name_rules sge -type net      -allowed {A-Z a-z _ 0-9 \[\](){}~!@#%^&*+?/}
2655
#@ define_name_rules sge -type port -allowed {A-Z a-z _ 0-9 \[\](){}~!@#%^&*+?/}
2656
#@ define_name_rules sge -type cell -allowed "A-Z a-z _ 0-9~!@#%^&*+?/$-"               -first_restricted "_" -last_restricted "_"
2657
#@
2658
#@ ###/* Name rule for SGE and VHDL */
2659
#@
2660
#@ define_name_rules sge_vhdl -reserved_words {                                    "abs"  "access"  "after" "alias"  "all"  "and"                          "architecture"  "array"  "assert" "attribute"                           "begin"  "block"  "body"  "buffer"  "bus"                               "case" "component"  "configuration"  "constant"                         "disconnect" "downto"                                                   "else"  "elsif"  "end"  "entity"  "exit"                                "file" "for"  "function"                                                "generate"  "generic" "group" "guarded"                                 "if" "impure" "in" "inertial" "inout"  "is"                             "label"  "library"  "linkage" "literal" "loop"                       "map"  "mod"                                                            "nand"  "new"  "next"  "nor"  "not"  "null"                             "of"  "on"  "open"  "or"  "others"  "out"                               "package"  "port" "postponed" "procedure"  "process" "pure"             "range"  "record"  "register" "reject" "rem"                            "report"  "return"  "rol" "ror"                                         "select"  "severity"  "signal" "shared" "sla" "sll"                     "sra" "srl" "subtype"                                                   "then"  "to"  "transport"  "type"                                       "unaffected" "units"  "until"  "use"                                    "variable"                                                              "wait"  "when"  "while"  "with"                                         "xnor" "xor"}                                                           -case_insensitive                                                       -replacement_char "x"                                                   -special sge_vhdl
2661
#@ define_name_rules sge_vhdl -type net                                         -allowed "A-Z a-z _ 0-9 ()"                                             -first_restricted "0-9 _()"                                             -last_restricted "_"
2662
#@ define_name_rules sge_vhdl -type port                                                -allowed "A-Z a-z _ 0-9 ()"                                             -first_restricted "0-9 _()"                                             -last_restricted "_"
2663
#@ define_name_rules sge_vhdl -type cell                                                -allowed "A-Z a-z _ 0-9"                                                -first_restricted "0-9 _"                                               -last_restricted "_"
2664
#@
2665
#@ ####/* Name rule for VHDL */
2666
#@
2667
#@ define_name_rules vhdl -reserved_words {                                        "abs"  "access"  "after" "alias"  "all"  "and"                          "architecture"  "array"  "assert" "attribute"                   \
2668
#@         "begin"  "block"  "body"  "buffer"  "bus"                               "case" "component"  "configuration"  "constant"                         "disconnect" "downto"                                                   "else"  "elsif"  "end"  "entity"  "exit"                                "file" "for"  "function"                                                "generate"  "generic" "group" "guarded"                                 "if" "impure" "in" "inertial" "inout"  "is"                             "label"  "library"  "linkage" "literal" "loop"                          "map"  "mod"                                                            "nand"  "new"  "next"  "nor"  "not"  "null"                             "of"  "on"  "open"  "or"  "others"  "out"                               "package"  "port" "postponed" "procedure"  "process" "pure"             "range"  "record"  "register" "reject" "rem"                            "report"  "return"  "rol" "ror"                                         "select"  "severity"  "signal" "shared" "sla" "sll"                     "sra" "srl" "subtype"                                                   "then"  "to"  "transport"  "type"                                       "unaffected" "units"  "until"  "use"                                    "variable"                                                              "wait"  "when"  "while"  "with"                                         "xnor" "xor"}                                                        -case_insensitive                                                       -target_bus_naming_style "%s(%d)"                                       -replacement_char "x"                                                   -special vhdl
2669
#@ define_name_rules vhdl -type net                                             -allowed "A-Z a-z _ 0-9 ()"                                             -first_restricted "0-9 _()"                                             -last_restricted "_"
2670
#@ define_name_rules vhdl -type port                                            -allowed "A-Z a-z _ 0-9 ()"                                             -first_restricted "0-9 _()"                                             -last_restricted "_"
2671
#@ define_name_rules vhdl -type cell                                            -allowed "A-Z a-z _ 0-9"                                                -first_restricted "0-9 _"                                               -last_restricted "_"
2672
#@ ####/* Name rule for VHDL */
2673
#@
2674
#@ define_name_rules vhdl93 -reserved_words {                                      "abs"  "access"  "after" "alias"  "all"  "and"                          "architecture"  "array"  "assert" "attribute"                           "begin"  "block"  "body"  "buffer"  "bus"                               "case" "component"  "configuration"  "constant"                         "disconnect" "downto"                                                   "else"  "elsif"  "end"  "entity"  "exit"                                "file" "for"  "function"                                                "generate"  "generic" "group" "guarded"                                 "if" "impure" "in" "inertial" "inout"  "is"                             "label"  "library"  "linkage" "literal" "loop"                          "map"  "mod"                                                            "nand"  "new"  "next"  "nor"  "not"  "null"                             "of"  "on"  "open"  "or"  "others"  "out"                               "package"  "port" "postponed" "procedure"  "process" "pure"             "range"  "record"  "register" "reject" "rem"                            "report"  "return"  "rol" "ror"                                         "select"  "severity"  "signal" "shared" "sla" "sll"                     "sra" "srl" "subtype"                                                   "then"  "to"  "transport"  "type"                                       "unaffected" "units"  "until"  "use"                                    "variable"                                                              "wait"  "when"  "while"  "with"                                         "xnor" "xor"}                                                        -case_insensitive                                                       -target_bus_naming_style "%s(%d)"                                       -replacement_char "x"                                                   -special vhdl93
2675
#@ define_name_rules vhdl93 -type net                                           -allowed "\\\\ A-Z a-z _ 0-9 ()"                                                -first_restricted "0-9 _()"                                             -last_restricted "_"
2676
#@ define_name_rules vhdl93 -type port                                          -allowed "\\\\ A-Z a-z _ 0-9 ()"                                                -first_restricted "0-9 _()"                                             -last_restricted "_"
2677
#@ define_name_rules vhdl93 -type cell                                          -allowed "\\\\ A-Z a-z _ 0-9"                                           -first_restricted "0-9 _"                                               -last_restricted "_"
2678
#@
2679
#@
2680
#@ ###/* Name rule for VHDL */
2681
#@
2682
#@ define_name_rules vhdl_ds -reserved_words {                                     "abs"  "access"  "after" "alias"  "all"  "and"                          "architecture"  "array"  "assert" "attribute"                           "begin"  "block"  "body"  "buffer"  "bus"                               "case" "component"  "configuration"  "constant"                         "disconnect" "downto"                                                   "else"  "elsif"  "end"  "entity"  "exit"                                "file" "for"  "function"                                                "generate"  "generic" "group" "guarded"                                 "if" "impure" "in" "inertial" "inout"  "is"                             "label"  "library"  "linkage" "literal" "loop"                          "map"  "mod"                                                            "nand"  "new"  "next"  "nor"  "not"  "null"                             "of"  "on"  "open"  "or"  "others"  "out"                               "package"  "port" "postponed" "procedure"  "process" "pure"             "range"  "record"  "register" "reject" "rem"                            "report"  "return"  "rol" "ror"                                         "select"  "severity"  "signal" "shared" "sla" "sll"                     "sra" "srl" "subtype"                                                   "then"  "to"  "transport"  "type"                                       "unaffected" "units"  "until"  "use"                                    "variable"                                                              "wait"  "when"  "while"  "with"                                         "xnor" "xor"}                                                        -case_insensitive                                                       -inout_ports_equal_nets                                                 -replacement_char "x"                                                   -special vhdl
2683
#@ define_name_rules vhdl_ds -type net                                          -allowed "A-Z a-z _ 0-9 ()"                                             -first_restricted "0-9 _()"                                             -last_restricted "_"
2684
#@ define_name_rules vhdl_ds -type port                                         -allowed "A-Z a-z _ 0-9 ()"                                             -first_restricted "0-9 _()"                                             -last_restricted "_"
2685
#@ define_name_rules vhdl_ds -type cell                                         -allowed "A-Z a-z _ 0-9"                                                -first_restricted "0-9 _"                                               -last_restricted "_"
2686
#@
2687
#@ #/****
2688
#@ #    Verilog rules: Verilog allows alphanumerics and underscore in
2689
#@ #    names.  The first character must be alphabetic.  Nets, ports,
2690
#@ #    and cells share the same name space; they cannot have the same
2691
#@ #    name.  A slew of reserved words are defined.
2692
#@ #****/
2693
#@ define_name_rules verilog -type net -allow {a-z A-Z 0-9 _ \[\]}                                        -first_restrict "_ 0-9"
2694
#@
2695
#@ define_name_rules verilog -type port -allow {a-z A-Z 0-9 _ \[\]}                                       -first_restrict "_ 0-9"
2696
#@
2697
#@ define_name_rules verilog -type cell -allow "a-z A-Z 0-9 _ "                                           -first_restrict "_ 0-9"
2698
#@
2699
#@ define_name_rules verilog -reserved { "always" "and" "assign"        "begin" "buf" "bufif0" "bufif1" "case" "casex" "casez" "cmos"   "deassign" "default" "defparam" "disable" "edge" "else" "end"   "endattribute"  "endcase" "endfunction" "endmodule" "endprimitive"      "endspecify"  "endtable"  "endtask" "event" "for" "force"               "forever" "fork" "function" "highz0" "highz1" "if" "initial"    "inout" "input" "integer" "join" "large" "macromodule"  "medium"        "module" "nand" "negedge"  "nmos"  "nor" "not" "notif0"         "notif1" "or"  "output"  "parameter"  "pmos"  "posedge"                 "primitive"  "pull0" "pull1" "pullup"  "pulldown"  "reg"                "rcmos"  "reg"  "release" "repeat" "rnmos"  "rpmos"  "rtran"    "rtranif0"  "rtranif1"  "scalared" "small" "specify" "specparam"        "strength"  "strong0" "strong1" "supply0" "supply1" "table" "task" "time" "tran"  "tranif0"  "tranif1"  "tri" "tri0" "tri1"             "trinand" "trior" "trireg" "use"  "vectored" "wait" "wand"      "weak0" "weak1" "while" "wire" "wor" "xor" "xnor" }                             -special verilog -target_bus_naming_style {%s[%d]}
2700
#@ # -- End source /usr/synopsys/synthesis/admin/setup/.dc_name_rules
2701
 
2702
#@
2703
#@
2704
#@ #/* Read budgeting setup script  */
2705
#@
2706
#@ if { [string compare $dc_shell_mode "default"] == 0 } {
2707
#@
2708
#@ #  Need a encrypted file in Tcl format for budget.setup.et
2709
#@   if { $sh_arch != "msvc50" && $sh_arch != "alpha_nt" } {
2710
#@ #    source  -e synopsys_root + "/admin/setup/budget.setup.et"
2711
#@   }
2712
#@ }
2713
#@
2714
#@ # alias for write_sge is always the last line of the setup file
2715
#@ if { [string compare $dc_shell_mode "tcl"] == 0 } {
2716
#@    alias write_sge "source db2sge_script"
2717
#@ } else {
2718
#@    alias write_sge "include db2sge_script"
2719
#@ }
2720
#@
2721
#@ # True if executing Design Vision or PC-Gui
2722
#@ if { $synopsys_program_name == "design_vision" || $synopsys_program_name == "psyn_gui" } {
2723
#@
2724
#@   # Configure online help and user guide documentation
2725
#@   set dv_tutorial "doc/online/synth/dvtut/toc.pdf"
2726
#@   set dv_userguide "doc/online/synth/dvug/toc.pdf"
2727
#@   set dv_online_help "doc/online/synth/dvoh/dv_help.html"
2728
#@
2729
#@   # Turn this on to speed up the schematic generation, other wise it may take hours
2730
#@   set sort_outputs "true"
2731
#@
2732
#@   # Configure schematic setup
2733
#@   source $synopsys_root/admin/setup/.dv_schematic_setup
2734
#@
2735
#@   # True if in TCL mode
2736
#@   if { $dc_shell_mode == "tcl" } {
2737
#@
2738
#@       # Sources Design Vision specific procedures
2739
#@       source $synopsys_root/auxx/syn/.dv_procs.tcl
2740
#@
2741
#@       # Configure Execute script dialog to display .tcl files
2742
#@       set view_execute_script_suffix "$view_execute_script_suffix .tcl"
2743
#@
2744
#@       # Configure "Tools -> User Scripts" menu
2745
#@       set view_script_submenu_items  {                 "Report Selected" "report_selected"                 "Select Path Hierarchy" "select_path_hier"}
2746
#@
2747
#@       if { ![string compare $synopsys_program_name "psyn_gui"] } {
2748
#@         if { [file exists [file join $synopsys_root auxx syn .psyn_gui_setup.tcl]] } {
2749
#@           source [file join $synopsys_root auxx syn .psyn_gui_setup.tcl]
2750
#@         } else {
2751
#@           echo "Warning: could not find file .psyn_gui_setup.tcl in installation"
2752
#@         }
2753
#@       }
2754
#@   }
2755
#@ }
2756
#@
2757
#@ # -- End source /usr/synopsys/synthesis/admin/setup/.synopsys_dc.setup
2758
 
2759
source -echo -verbose /home/fabrizio/m1_core/hdl/filelist.dc
2760
#@ # Synthesis script for dc_shell (Tcl mode)
2761
#@
2762
#@ # Analyze
2763
#@ set search_path [concat [list /home/fabrizio/m1_core/hdl/rtl/m1_cpu] $search_path]
2764
#@ analyze -format verilog ~/m1_core/hdl/rtl/m1_cpu/m1_alu.v
2765
#@ analyze -format verilog ~/m1_core/hdl/rtl/m1_cpu/m1_cpu.v
2766
#@
2767
#@ # Elaborate
2768
#@ elaborate m1_cpu
2769
#@ link
2770
#@ uniquify
2771
#@ check_design
2772
#@
2773
#@ # Constraints
2774
#@ create_clock -name "sys_clock_i" -period 2.0 -waveform {0 1.0} [get_ports "sys_clock_i"]
2775
#@ set_dont_touch_network [get_clocks "sys_clock_i"]
2776
#@ set_input_delay 1.25 -max -rise -clock "sys_clock_i" [get_ports "sys_reset_i"]
2777
#@ set_input_delay 1.25 -max -fall -clock "sys_clock_i" [get_ports "sys_reset_i"]
2778
#@ set_output_delay 1.25 -clock sys_clock_i -max -rise [all_outputs]
2779
#@ set_output_delay 1.25 -clock sys_clock_i -max -fall [all_outputs]
2780
#@ set_wire_load_mode "enclosed"
2781
#@
2782
#@ # Compile
2783
#@ compile

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.