OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

[/] [m1_core/] [tags/] [first/] [run/] [synth/] [dc/] [synth.log] - Blame information for rev 64

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fafa1971
 
2
                    Synopsys Interface Shell (TM)
3
              Version 2001.08 for linux -- Aug 22, 2001
4
              Copyright (c) 1988-2001 by Synopsys, Inc.
5
                         ALL RIGHTS RESERVED
6
 
7
This program is proprietary and confidential information of Synopsys, Inc.
8
and may be used and disclosed only as authorized in a license agreement
9
controlling such use and disclosure.
10
 
11
Initializing...
12
# Synthesis script for dc_shell (Tcl mode)
13
# Analyze
14
set search_path [concat [list /home/fabrizio/m1_core/hdl/rtl/m1_cpu] $search_path]
15
/home/fabrizio/m1_core/hdl/rtl/m1_cpu . /usr/synopsys/synthesis/libraries/syn /usr/synopsys/synthesis/dw/sim_ver /usr/synopsys/libraries/umc/
16
analyze -format verilog ~/m1_core/hdl/rtl/m1_cpu/m1_alu.v
17
Running PRESTO HDLC
18
Searching for /home/fabrizio/m1_core/hdl/rtl/m1_cpu/~/m1_core/hdl/rtl/m1_cpu/m1_alu.v
19
Searching for ./~/m1_core/hdl/rtl/m1_cpu/m1_alu.v
20
Searching for /usr/synopsys/synthesis/libraries/syn/~/m1_core/hdl/rtl/m1_cpu/m1_alu.v
21
Searching for /usr/synopsys/synthesis/dw/sim_ver/~/m1_core/hdl/rtl/m1_cpu/m1_alu.v
22
Searching for /usr/synopsys/libraries/umc//~/m1_core/hdl/rtl/m1_cpu/m1_alu.v
23
Searching for ~/m1_core/hdl/rtl/m1_cpu/~/m1_core/hdl/rtl/m1_cpu/m1_alu.v
24
Compiling source netlist file /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_alu.v
25
Searching for /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_defs.h
26
Opening include file /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_defs.h
27
Presto compilation completed successfully.
28
1
29
analyze -format verilog ~/m1_core/hdl/rtl/m1_cpu/m1_cpu.v
30
Running PRESTO HDLC
31
Searching for /home/fabrizio/m1_core/hdl/rtl/m1_cpu/~/m1_core/hdl/rtl/m1_cpu/m1_cpu.v
32
Searching for ./~/m1_core/hdl/rtl/m1_cpu/m1_cpu.v
33
Searching for /usr/synopsys/synthesis/libraries/syn/~/m1_core/hdl/rtl/m1_cpu/m1_cpu.v
34
Searching for /usr/synopsys/synthesis/dw/sim_ver/~/m1_core/hdl/rtl/m1_cpu/m1_cpu.v
35
Searching for /usr/synopsys/libraries/umc//~/m1_core/hdl/rtl/m1_cpu/m1_cpu.v
36
Searching for ~/m1_core/hdl/rtl/m1_cpu/~/m1_core/hdl/rtl/m1_cpu/m1_cpu.v
37
Compiling source netlist file /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v
38
Searching for /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_defs.h
39
Opening include file /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_defs.h
40
Warning:  Little argument or return value checking implemented for system task or function '$time'. (VER-209)
41
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:270: In the call to '$display', the '%t' format specifier is not supported. (ELAB-902)
42
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:359: In the call to '$display', the '%t' format specifier is not supported. (ELAB-902)
43
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:377: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
44
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:382: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
45
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:382: Invalid escape sequence '\X' in call to '$display'. (VER-941)
46
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:382: Invalid escape sequence '\X' in call to '$display'. (VER-941)
47
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:382: Invalid escape sequence '\X' in call to '$display'. (VER-941)
48
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:388: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
49
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:388: Invalid escape sequence '\X' in call to '$display'. (VER-941)
50
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:388: Invalid escape sequence '\X' in call to '$display'. (VER-941)
51
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:394: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
52
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:394: Invalid escape sequence '\X' in call to '$display'. (VER-941)
53
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:394: Invalid escape sequence '\X' in call to '$display'. (VER-941)
54
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:400: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
55
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:400: Invalid escape sequence '\X' in call to '$display'. (VER-941)
56
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:422: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
57
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:450: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
58
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:450: Invalid escape sequence '\X' in call to '$display'. (VER-941)
59
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:450: Invalid escape sequence '\X' in call to '$display'. (VER-941)
60
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:454: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
61
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:454: Invalid escape sequence '\X' in call to '$display'. (VER-941)
62
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:454: Invalid escape sequence '\X' in call to '$display'. (VER-941)
63
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:476: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
64
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:476: Invalid escape sequence '\X' in call to '$display'. (VER-941)
65
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:476: Invalid escape sequence '\X' in call to '$display'. (VER-941)
66
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:498: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
67
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:498: Invalid escape sequence '\X' in call to '$display'. (VER-941)
68
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:498: Invalid escape sequence '\X' in call to '$display'. (VER-941)
69
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:520: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
70
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:520: Invalid escape sequence '\X' in call to '$display'. (VER-941)
71
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:520: Invalid escape sequence '\X' in call to '$display'. (VER-941)
72
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:542: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
73
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:542: Invalid escape sequence '\X' in call to '$display'. (VER-941)
74
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:542: Invalid escape sequence '\X' in call to '$display'. (VER-941)
75
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:564: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
76
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:564: Invalid escape sequence '\X' in call to '$display'. (VER-941)
77
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:564: Invalid escape sequence '\X' in call to '$display'. (VER-941)
78
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:586: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
79
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:586: Invalid escape sequence '\X' in call to '$display'. (VER-941)
80
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:586: Invalid escape sequence '\X' in call to '$display'. (VER-941)
81
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:608: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
82
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:608: Invalid escape sequence '\X' in call to '$display'. (VER-941)
83
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:608: Invalid escape sequence '\X' in call to '$display'. (VER-941)
84
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:630: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
85
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:630: Invalid escape sequence '\X' in call to '$display'. (VER-941)
86
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:630: Invalid escape sequence '\X' in call to '$display'. (VER-941)
87
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:652: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
88
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:652: Invalid escape sequence '\X' in call to '$display'. (VER-941)
89
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:652: Invalid escape sequence '\X' in call to '$display'. (VER-941)
90
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:674: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
91
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:674: Invalid escape sequence '\X' in call to '$display'. (VER-941)
92
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:674: Invalid escape sequence '\X' in call to '$display'. (VER-941)
93
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:696: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
94
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:696: Invalid escape sequence '\X' in call to '$display'. (VER-941)
95
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:696: Invalid escape sequence '\X' in call to '$display'. (VER-941)
96
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:718: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
97
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:718: Invalid escape sequence '\X' in call to '$display'. (VER-941)
98
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:718: Invalid escape sequence '\X' in call to '$display'. (VER-941)
99
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:740: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
100
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:740: Invalid escape sequence '\X' in call to '$display'. (VER-941)
101
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:740: Invalid escape sequence '\X' in call to '$display'. (VER-941)
102
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:762: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
103
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:762: Invalid escape sequence '\X' in call to '$display'. (VER-941)
104
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:762: Invalid escape sequence '\X' in call to '$display'. (VER-941)
105
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:766: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
106
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:766: Invalid escape sequence '\X' in call to '$display'. (VER-941)
107
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:766: Invalid escape sequence '\X' in call to '$display'. (VER-941)
108
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:770: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
109
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:770: Invalid escape sequence '\X' in call to '$display'. (VER-941)
110
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:770: Invalid escape sequence '\X' in call to '$display'. (VER-941)
111
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:774: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
112
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:774: Invalid escape sequence '\X' in call to '$display'. (VER-941)
113
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:774: Invalid escape sequence '\X' in call to '$display'. (VER-941)
114
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:778: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
115
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:778: Invalid escape sequence '\X' in call to '$display'. (VER-941)
116
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:778: Invalid escape sequence '\X' in call to '$display'. (VER-941)
117
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:800: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
118
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:800: Invalid escape sequence '\X' in call to '$display'. (VER-941)
119
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:800: Invalid escape sequence '\X' in call to '$display'. (VER-941)
120
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:822: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
121
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:822: Invalid escape sequence '\X' in call to '$display'. (VER-941)
122
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:822: Invalid escape sequence '\X' in call to '$display'. (VER-941)
123
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:844: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
124
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:844: Invalid escape sequence '\X' in call to '$display'. (VER-941)
125
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:844: Invalid escape sequence '\X' in call to '$display'. (VER-941)
126
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:866: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
127
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:866: Invalid escape sequence '\X' in call to '$display'. (VER-941)
128
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:866: Invalid escape sequence '\X' in call to '$display'. (VER-941)
129
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:888: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
130
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:888: Invalid escape sequence '\X' in call to '$display'. (VER-941)
131
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:888: Invalid escape sequence '\X' in call to '$display'. (VER-941)
132
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:910: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
133
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:910: Invalid escape sequence '\X' in call to '$display'. (VER-941)
134
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:910: Invalid escape sequence '\X' in call to '$display'. (VER-941)
135
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:932: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
136
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:932: Invalid escape sequence '\X' in call to '$display'. (VER-941)
137
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:932: Invalid escape sequence '\X' in call to '$display'. (VER-941)
138
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:954: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
139
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:954: Invalid escape sequence '\X' in call to '$display'. (VER-941)
140
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:954: Invalid escape sequence '\X' in call to '$display'. (VER-941)
141
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:976: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
142
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:976: Invalid escape sequence '\X' in call to '$display'. (VER-941)
143
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:976: Invalid escape sequence '\X' in call to '$display'. (VER-941)
144
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:998: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
145
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:998: Invalid escape sequence '\X' in call to '$display'. (VER-941)
146
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:998: Invalid escape sequence '\X' in call to '$display'. (VER-941)
147
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1020: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
148
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1020: Invalid escape sequence '\X' in call to '$display'. (VER-941)
149
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1020: Invalid escape sequence '\X' in call to '$display'. (VER-941)
150
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1042: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
151
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1042: Invalid escape sequence '\X' in call to '$display'. (VER-941)
152
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1042: Invalid escape sequence '\X' in call to '$display'. (VER-941)
153
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1046: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
154
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1046: Invalid escape sequence '\X' in call to '$display'. (VER-941)
155
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1046: Invalid escape sequence '\X' in call to '$display'. (VER-941)
156
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1050: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
157
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1050: Invalid escape sequence '\X' in call to '$display'. (VER-941)
158
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1050: Invalid escape sequence '\X' in call to '$display'. (VER-941)
159
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1054: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
160
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1054: Invalid escape sequence '\X' in call to '$display'. (VER-941)
161
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1054: Invalid escape sequence '\X' in call to '$display'. (VER-941)
162
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1058: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
163
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1058: Invalid escape sequence '\X' in call to '$display'. (VER-941)
164
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1058: Invalid escape sequence '\X' in call to '$display'. (VER-941)
165
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1062: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
166
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1062: Invalid escape sequence '\X' in call to '$display'. (VER-941)
167
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1062: Invalid escape sequence '\X' in call to '$display'. (VER-941)
168
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1068: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
169
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1068: Invalid escape sequence '\X' in call to '$display'. (VER-941)
170
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1068: Invalid escape sequence '\X' in call to '$display'. (VER-941)
171
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1069: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
172
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1069: Invalid escape sequence '\X' in call to '$display'. (VER-941)
173
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1069: Invalid escape sequence '\X' in call to '$display'. (VER-941)
174
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1091: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
175
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1091: Invalid escape sequence '\X' in call to '$display'. (VER-941)
176
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1091: Invalid escape sequence '\X' in call to '$display'. (VER-941)
177
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1113: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
178
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1113: Invalid escape sequence '\X' in call to '$display'. (VER-941)
179
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1113: Invalid escape sequence '\X' in call to '$display'. (VER-941)
180
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1135: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
181
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1135: Invalid escape sequence '\X' in call to '$display'. (VER-941)
182
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1135: Invalid escape sequence '\X' in call to '$display'. (VER-941)
183
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1157: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
184
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1157: Invalid escape sequence '\X' in call to '$display'. (VER-941)
185
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1157: Invalid escape sequence '\X' in call to '$display'. (VER-941)
186
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1179: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
187
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1179: Invalid escape sequence '\X' in call to '$display'. (VER-941)
188
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1179: Invalid escape sequence '\X' in call to '$display'. (VER-941)
189
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1201: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
190
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1201: Invalid escape sequence '\X' in call to '$display'. (VER-941)
191
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1201: Invalid escape sequence '\X' in call to '$display'. (VER-941)
192
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1223: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
193
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1223: Invalid escape sequence '\X' in call to '$display'. (VER-941)
194
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1223: Invalid escape sequence '\X' in call to '$display'. (VER-941)
195
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1245: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
196
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1245: Invalid escape sequence '\X' in call to '$display'. (VER-941)
197
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1245: Invalid escape sequence '\X' in call to '$display'. (VER-941)
198
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1267: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
199
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1267: Invalid escape sequence '\X' in call to '$display'. (VER-941)
200
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1267: Invalid escape sequence '\X' in call to '$display'. (VER-941)
201
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1289: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
202
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1289: Invalid escape sequence '\X' in call to '$display'. (VER-941)
203
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1289: Invalid escape sequence '\X' in call to '$display'. (VER-941)
204
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1311: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
205
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1311: Invalid escape sequence '\X' in call to '$display'. (VER-941)
206
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1311: Invalid escape sequence '\X' in call to '$display'. (VER-941)
207
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1333: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
208
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1333: Invalid escape sequence '\X' in call to '$display'. (VER-941)
209
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1333: Invalid escape sequence '\X' in call to '$display'. (VER-941)
210
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1355: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
211
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1355: Invalid escape sequence '\X' in call to '$display'. (VER-941)
212
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1355: Invalid escape sequence '\X' in call to '$display'. (VER-941)
213
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1376: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
214
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1376: Invalid escape sequence '\X' in call to '$display'. (VER-941)
215
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1376: Invalid escape sequence '\X' in call to '$display'. (VER-941)
216
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1399: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
217
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1399: Invalid escape sequence '\X' in call to '$display'. (VER-941)
218
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1399: Invalid escape sequence '\X' in call to '$display'. (VER-941)
219
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1422: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
220
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1422: Invalid escape sequence '\X' in call to '$display'. (VER-941)
221
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1422: Invalid escape sequence '\X' in call to '$display'. (VER-941)
222
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1445: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
223
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1445: Invalid escape sequence '\X' in call to '$display'. (VER-941)
224
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1445: Invalid escape sequence '\X' in call to '$display'. (VER-941)
225
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1468: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
226
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1468: Invalid escape sequence '\X' in call to '$display'. (VER-941)
227
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1468: Invalid escape sequence '\X' in call to '$display'. (VER-941)
228
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1490: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
229
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1490: Invalid escape sequence '\X' in call to '$display'. (VER-941)
230
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1490: Invalid escape sequence '\X' in call to '$display'. (VER-941)
231
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1512: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
232
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1512: Invalid escape sequence '\X' in call to '$display'. (VER-941)
233
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1512: Invalid escape sequence '\X' in call to '$display'. (VER-941)
234
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1534: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
235
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1534: Invalid escape sequence '\X' in call to '$display'. (VER-941)
236
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1534: Invalid escape sequence '\X' in call to '$display'. (VER-941)
237
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1556: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
238
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1556: Invalid escape sequence '\X' in call to '$display'. (VER-941)
239
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1556: Invalid escape sequence '\X' in call to '$display'. (VER-941)
240
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1578: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
241
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1578: Invalid escape sequence '\X' in call to '$display'. (VER-941)
242
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1578: Invalid escape sequence '\X' in call to '$display'. (VER-941)
243
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1600: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
244
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1600: Invalid escape sequence '\X' in call to '$display'. (VER-941)
245
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1600: Invalid escape sequence '\X' in call to '$display'. (VER-941)
246
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1622: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
247
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1622: Invalid escape sequence '\X' in call to '$display'. (VER-941)
248
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1622: Invalid escape sequence '\X' in call to '$display'. (VER-941)
249
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1644: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
250
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1644: Invalid escape sequence '\X' in call to '$display'. (VER-941)
251
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1644: Invalid escape sequence '\X' in call to '$display'. (VER-941)
252
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1666: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
253
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1666: Invalid escape sequence '\X' in call to '$display'. (VER-941)
254
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1666: Invalid escape sequence '\X' in call to '$display'. (VER-941)
255
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1691: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
256
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1691: Invalid escape sequence '\X' in call to '$display'. (VER-941)
257
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1691: Invalid escape sequence '\X' in call to '$display'. (VER-941)
258
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1713: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
259
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1713: Invalid escape sequence '\X' in call to '$display'. (VER-941)
260
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1713: Invalid escape sequence '\X' in call to '$display'. (VER-941)
261
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1735: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
262
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1735: Invalid escape sequence '\X' in call to '$display'. (VER-941)
263
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1735: Invalid escape sequence '\X' in call to '$display'. (VER-941)
264
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1757: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
265
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1757: Invalid escape sequence '\X' in call to '$display'. (VER-941)
266
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1757: Invalid escape sequence '\X' in call to '$display'. (VER-941)
267
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1797: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
268
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1827: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
269
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1827: Invalid escape sequence '\X' in call to '$display'. (VER-941)
270
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1827: Invalid escape sequence '\X' in call to '$display'. (VER-941)
271
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1827: Invalid escape sequence '\X' in call to '$display'. (VER-941)
272
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1867: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
273
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1867: Invalid escape sequence '\X' in call to '$display'. (VER-941)
274
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1867: Invalid escape sequence '\X' in call to '$display'. (VER-941)
275
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1867: Invalid escape sequence '\X' in call to '$display'. (VER-941)
276
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1890: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
277
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1904: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
278
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1904: Invalid escape sequence '\X' in call to '$display'. (VER-941)
279
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1910: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
280
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1910: Invalid escape sequence '\X' in call to '$display'. (VER-941)
281
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1930: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
282
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1936: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
283
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1936: Invalid escape sequence '\X' in call to '$display'. (VER-941)
284
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1942: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
285
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1942: Invalid escape sequence '\X' in call to '$display'. (VER-941)
286
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1948: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
287
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1948: Invalid escape sequence '\X' in call to '$display'. (VER-941)
288
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1954: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
289
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1954: Invalid escape sequence '\X' in call to '$display'. (VER-941)
290
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1960: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
291
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1966: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
292
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1966: Invalid escape sequence '\X' in call to '$display'. (VER-941)
293
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1966: Invalid escape sequence '\X' in call to '$display'. (VER-941)
294
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1966: Invalid escape sequence '\X' in call to '$display'. (VER-941)
295
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1966: Invalid escape sequence '\X' in call to '$display'. (VER-941)
296
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1966: Invalid escape sequence '\X' in call to '$display'. (VER-941)
297
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1966: Invalid escape sequence '\X' in call to '$display'. (VER-941)
298
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1966: Invalid escape sequence '\X' in call to '$display'. (VER-941)
299
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1966: Invalid escape sequence '\X' in call to '$display'. (VER-941)
300
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1968: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
301
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1968: Invalid escape sequence '\X' in call to '$display'. (VER-941)
302
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1968: Invalid escape sequence '\X' in call to '$display'. (VER-941)
303
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1968: Invalid escape sequence '\X' in call to '$display'. (VER-941)
304
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1968: Invalid escape sequence '\X' in call to '$display'. (VER-941)
305
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1968: Invalid escape sequence '\X' in call to '$display'. (VER-941)
306
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1968: Invalid escape sequence '\X' in call to '$display'. (VER-941)
307
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1968: Invalid escape sequence '\X' in call to '$display'. (VER-941)
308
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1968: Invalid escape sequence '\X' in call to '$display'. (VER-941)
309
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1970: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
310
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1970: Invalid escape sequence '\X' in call to '$display'. (VER-941)
311
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1970: Invalid escape sequence '\X' in call to '$display'. (VER-941)
312
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1970: Invalid escape sequence '\X' in call to '$display'. (VER-941)
313
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1970: Invalid escape sequence '\X' in call to '$display'. (VER-941)
314
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1970: Invalid escape sequence '\X' in call to '$display'. (VER-941)
315
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1970: Invalid escape sequence '\X' in call to '$display'. (VER-941)
316
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1970: Invalid escape sequence '\X' in call to '$display'. (VER-941)
317
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1970: Invalid escape sequence '\X' in call to '$display'. (VER-941)
318
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1972: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
319
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1972: Invalid escape sequence '\X' in call to '$display'. (VER-941)
320
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1972: Invalid escape sequence '\X' in call to '$display'. (VER-941)
321
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1972: Invalid escape sequence '\X' in call to '$display'. (VER-941)
322
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1972: Invalid escape sequence '\X' in call to '$display'. (VER-941)
323
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1972: Invalid escape sequence '\X' in call to '$display'. (VER-941)
324
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1972: Invalid escape sequence '\X' in call to '$display'. (VER-941)
325
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1972: Invalid escape sequence '\X' in call to '$display'. (VER-941)
326
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1972: Invalid escape sequence '\X' in call to '$display'. (VER-941)
327
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1974: In the call to '$display', the '%m' format specifier is not supported. (ELAB-902)
328
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1974: Invalid escape sequence '\X' in call to '$display'. (VER-941)
329
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1974: Invalid escape sequence '\X' in call to '$display'. (VER-941)
330
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1974: Invalid escape sequence '\X' in call to '$display'. (VER-941)
331
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1974: Invalid escape sequence '\X' in call to '$display'. (VER-941)
332
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1974: Invalid escape sequence '\X' in call to '$display'. (VER-941)
333
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:1974: Invalid escape sequence '\X' in call to '$display'. (VER-941)
334
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:267: Register 'mul_req_i' is the target of both blocking and non-blocking assignments in the same process. (VER-208)
335
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v:267: Register 'div_req_i' is the target of both blocking and non-blocking assignments in the same process. (VER-208)
336
Presto compilation completed successfully.
337
1
338
# Elaborate
339
elaborate m1_cpu
340
Running PRESTO HDLC
341
Loading db file '/usr/synopsys/synthesis/libraries/syn/gtech.db'
342
Loading db file '/usr/synopsys/synthesis/libraries/syn/standard.sldb'
343
Loading db file '/usr/synopsys/libraries/umc/umce13h210t3_tc_120V_25C.db'
344
$display output: ================> Time  <================0
345
$display output: ================> Time  <================0
346
$display output: INFO: CPU()-IF: Fetching stalled
347
$display output: INFO: CPU()-IF: Bubble inserted due branch taken in EX/MEM instruction @ADDR= w/OPCODE= having ALUout=????
348
$display output: INFO: CPU()-IF: Bubble inserted due to jump in ID/EX instruction @ADDR= w/OPCODE=??
349
$display output: INFO: CPU()-IF: Bubble inserted due to jump register in ID/EX instruction @ADDR= w/OPCODE=??
350
$display output: INFO: CPU()-IF: Fetched from Program Counter @ADDR=???????? getting OPCODE=?
351
$display output: INFO: CPU()-ID: Decoding stalled
352
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as BUBBLE??
353
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as J ??????????
354
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as JAL ??????????
355
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as BEQ r?, r?, ????
356
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as BNE r?, r?, ????
357
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as BLEZ r?, ??????????
358
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as BGTZ r?, ??????????
359
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as ADDI r?, r?, ????
360
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as ADDIU r?, r?, ????
361
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SLTI r?, r?, ????
362
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SLTIU r?, r?, ????
363
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as ANDI r?, r?, ????
364
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as ORI r?, r?, ????
365
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as XORI r?, r?, ????
366
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as LUI r?, ??????????
367
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as COP0??
368
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as COP1??
369
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as COP2??
370
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as COP3??
371
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as LB r?, ?(r?)??
372
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as LH r?, ?(r?)??
373
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as LWL r?, ?(r?)??
374
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as LW r?, ?(r?)??
375
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as LBU r?, ?(r?)??
376
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as LHU r?, ?(r?)??
377
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as LWR r?, ?(r?)??
378
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SB r?, ?(r?)??
379
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SH r?, ?(r?)??
380
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SWL r?, ?(r?)??
381
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SW r?, ?(r?)??
382
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SWR r?, ?(r?)??
383
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as LWC1??
384
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as LWC2??
385
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as LWC3??
386
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SWC1??
387
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SWC2??
388
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SWC3??
389
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as NOP??
390
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SLL r?, r?, ????
391
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SRL r?, r?, ????
392
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SRA r?, r?, ????
393
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SLLV r?, r?, r???
394
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SRLV r?, r?, r???
395
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SRAV r?, r?, r???
396
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as JR r???
397
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as JALR [r?,] r???
398
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SYSCALL??
399
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as BREAK??
400
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as MFHI r???
401
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as MTHI r???
402
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as MFLO r???
403
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as MTLO r???
404
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as MULT r?, r???
405
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as MULTU r?, r???
406
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as DIV r?, r???
407
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as DIVU r?, r???
408
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as ADD r?, r?, r???
409
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as ADDU r?, r?, r???
410
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SUB r?, r?, r???
411
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SUBU r?, r?, r???
412
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as AND r?, r?, r???
413
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as OR r?, r?, r???
414
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as XOR r?, r?, r???
415
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as NOR r?, r?, r???
416
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SLT r?, r?, r???
417
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as SLTU r?, r?, r???
418
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as BLTZ r?, ??????????
419
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as BGEZ r?, ??????????
420
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as BLTZAL r?, ??????????
421
$display output: INFO: CPU()-ID: Decoded instruction @ADDR= w/OPCODE= as BGEZAL r?, ??????????
422
$display output: INFO: CPU()-EX: Execution stalled
423
$display output: INFO: CPU()-EX: Execution of Store instruction @ADDR= w/OPCODE= started to STORE_ADDR=???
424
$display output: INFO: CPU()-EX: Execution of instruction @ADDR= w/OPCODE= gave ALU result ???
425
$display output: INFO: CPU()-MEM: Memory stalled
426
$display output: INFO: CPU()-MEM: LOADing value ?
427
$display output: INFO: CPU()-MEM: Propagating value ??
428
$display output: INFO: CPU()-WB: Write-Back stalled
429
$display output: INFO: CPU()-WB: Writing Back GPR[?]=?
430
$display output: INFO: CPU()-WB: Writing Back HI=?
431
$display output: INFO: CPU()-WB: Writing Back LO=?
432
$display output: INFO: CPU()-WB: Writing Back SysCon[?]=?
433
$display output: INFO: CPU()-WB: Write-Back has nothing to do
434
$display output: INFO: CPU()-Regs: R00= R01= R02= R03= R04= R05= R06= R07=????????
435
$display output: INFO: CPU()-Regs: R08= R09= R10= R11= R12= R13= R14= R15=????????
436
$display output: INFO: CPU()-Regs: R16= R17= R18= R19= R20= R21= R22= R23=????????
437
$display output: INFO: CPU()-Regs: R24= R25= R26= R27= R28= R29= R30= R31=????????
438
$display output: INFO: CPU()-Regs: PC= HI= LO= Status= Cause= EPC=??????
439
 
440
Statistics for case statements in always block at line 267 in file
441
        '/home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v'
442
===============================================
443
|           Line           |  full/ parallel  |
444
===============================================
445
|           451            |     no/auto      |
446
|           1065           |     no/auto      |
447
|           1688           |     no/auto      |
448
|           1828           |     no/auto      |
449
|           1843           |    auto/auto     |
450
===============================================
451
 
452
Inferred memory devices in process
453
        in routine m1_cpu line 267 in file
454
                '/home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_cpu.v'.
455
==================================================================================
456
|     Register Name      |   Type    | Width | Bus | MB | AR | AS | SR | SS | ST |
457
==================================================================================
458
|    id_ex_addrjr_reg    | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
459
|     div_req_i_reg      | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
460
|  id_ex_alu_signed_reg  | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
461
|    ex_mem_store_reg    | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
462
|   id_ex_addrjump_reg   | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
463
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
464
| ex_mem_store_value_reg | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
465
|   mem_wb_desthi_reg    | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
466
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
467
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
468
| mem_wb_destsyscon_reg  | Flip-flop |   5   |  Y  | N  | N  | N  | N  | N  | N  |
469
|    id_ex_destlo_reg    | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
470
|  id_ex_addrbranch_reg  | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
471
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
472
|    mem_wb_value_reg    | Flip-flop |  64   |  Y  | N  | N  | N  | N  | N  | N  |
473
|   ex_mem_destlo_reg    | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
474
|   if_id_addrnext_reg   | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
475
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
476
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
477
|   ex_mem_branch_reg    | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
478
|   mem_wb_destlo_reg    | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
479
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
480
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
481
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
482
|     id_ex_size_reg     | Flip-flop |   3   |  Y  | N  | N  | N  | N  | N  | N  |
483
|         HI_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
484
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
485
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
486
|     id_ex_load_reg     | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
487
|   mem_wb_destreg_reg   | Flip-flop |   5   |  Y  | N  | N  | N  | N  | N  | N  |
488
|  id_ex_destsyscon_reg  | Flip-flop |   5   |  Y  | N  | N  | N  | N  | N  | N  |
489
|     id_ex_div_reg      | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
490
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
491
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
492
|     if_id_addr_reg     | Flip-flop |   4   |  Y  | N  | N  | N  | N  | N  | N  |
493
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
494
|   ex_mem_desthi_reg    | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
495
|    id_ex_branch_reg    | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
496
|     id_ex_mult_reg     | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
497
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
498
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
499
|     mul_req_i_reg      | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
500
|    id_ex_alu_b_reg     | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
501
|   ex_mem_destreg_reg   | Flip-flop |   5   |  Y  | N  | N  | N  | N  | N  | N  |
502
|         PC_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
503
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
504
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
505
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
506
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
507
| ex_mem_destsyscon_reg  | Flip-flop |   5   |  Y  | N  | N  | N  | N  | N  | N  |
508
|   ex_mem_aluout_reg    | Flip-flop |  64   |  Y  | N  | N  | N  | N  | N  | N  |
509
|   id_ex_destreg_reg    | Flip-flop |   5   |  Y  | N  | N  | N  | N  | N  | N  |
510
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
511
|    id_ex_desthi_reg    | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
512
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
513
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
514
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
515
|    id_ex_alu_a_reg     | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
516
| id_ex_store_value_reg  | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
517
|     id_ex_jump_reg     | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
518
|    if_id_opcode_reg    | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
519
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
520
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
521
|      id_ex_jr_reg      | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
522
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
523
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
524
|         LO_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
525
|   id_ex_alu_func_reg   | Flip-flop |   5   |  Y  | N  | N  | N  | N  | N  | N  |
526
| ex_mem_addrbranch_reg  | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
527
|  ex_mem_store_sel_reg  | Flip-flop |   4   |  Y  | N  | N  | N  | N  | N  | N  |
528
|    id_ex_store_reg     | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
529
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
530
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
531
|    ex_mem_load_reg     | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
532
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
533
|        GPR_reg         | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
534
==================================================================================
535
Presto compilation completed successfully.
536
Information: Building the design 'alu'. (HDL-193)
537
Running PRESTO HDLC
538
Warning:  /home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_alu.v:37: 'signed_i' is read but does not appear in the sensitivity list of this 'always' block. (ELAB-292)
539
 
540
Statistics for case statements in always block at line 24 in file
541
        '/home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_alu.v'
542
===============================================
543
|           Line           |  full/ parallel  |
544
===============================================
545
|            25            |     no/auto      |
546
===============================================
547
 
548
Inferred memory devices in process
549
        in routine alu line 24 in file
550
                '/home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_alu.v'.
551
===========================================================================
552
|    Register Name    | Type  | Width | Bus | MB | AR | AS | SR | SS | ST |
553
===========================================================================
554
|    result_o_reg     | Latch |  32   |  Y  | N  | N  | N  | -  | -  | -  |
555
===========================================================================
556
Presto compilation completed successfully.
557
Information: Building the design 'multiplier'. (HDL-193)
558
Running PRESTO HDLC
559
 
560
Inferred memory devices in process
561
        in routine multiplier line 73 in file
562
                '/home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_alu.v'.
563
===============================================================================
564
|    Register Name    |   Type    | Width | Bus | MB | AR | AS | SR | SS | ST |
565
===============================================================================
566
|    abp_ack_o_reg    | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
567
|   product_tmp_reg   | Flip-flop |  64   |  Y  | N  | N  | N  | N  | N  | N  |
568
|      count_reg      | Flip-flop |   6   |  Y  | N  | N  | N  | N  | N  | N  |
569
|    product_o_reg    | Flip-flop |  64   |  Y  | N  | N  | N  | N  | N  | N  |
570
| negative_output_reg | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
571
|    a_latched_reg    | Flip-flop |  64   |  Y  | N  | N  | N  | N  | N  | N  |
572
|    abp_last_reg     | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
573
|    b_latched_reg    | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
574
===============================================================================
575
Presto compilation completed successfully.
576
Information: Building the design 'divider'. (HDL-193)
577
Running PRESTO HDLC
578
 
579
Inferred memory devices in process
580
        in routine divider line 143 in file
581
                '/home/fabrizio/m1_core/hdl/rtl/m1_cpu/m1_alu.v'.
582
===============================================================================
583
|    Register Name    |   Type    | Width | Bus | MB | AR | AS | SR | SS | ST |
584
===============================================================================
585
|   quotient_o_reg    | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
586
|    b_latched_reg    | Flip-flop |  64   |  Y  | N  | N  | N  | N  | N  | N  |
587
| negative_output_reg | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
588
|  quotient_tmp_reg   | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
589
|    abp_last_reg     | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
590
|    abp_ack_o_reg    | Flip-flop |   1   |  N  | N  | N  | N  | N  | N  | N  |
591
|    a_latched_reg    | Flip-flop |  64   |  Y  | N  | N  | N  | N  | N  | N  |
592
|   remainder_o_reg   | Flip-flop |  32   |  Y  | N  | N  | N  | N  | N  | N  |
593
|      count_reg      | Flip-flop |   6   |  Y  | N  | N  | N  | N  | N  | N  |
594
===============================================================================
595
Presto compilation completed successfully.
596
Current design is now 'm1_cpu'
597
1
598
link
599
Linking design:
600
  m1_cpu
601
Using the following designs and libraries:
602
  m1_cpu, alu, multiplier, divider, umce13h210t3_tc_120V_25C (library)
603
1
604
uniquify
605
1
606
check_design
607
Warning: In design 'm1_cpu', port 'sys_irq_i[31]' is not connected to any nets. (LINT-28)
608
Warning: In design 'm1_cpu', port 'sys_irq_i[30]' is not connected to any nets. (LINT-28)
609
Warning: In design 'm1_cpu', port 'sys_irq_i[29]' is not connected to any nets. (LINT-28)
610
Warning: In design 'm1_cpu', port 'sys_irq_i[28]' is not connected to any nets. (LINT-28)
611
Warning: In design 'm1_cpu', port 'sys_irq_i[27]' is not connected to any nets. (LINT-28)
612
Warning: In design 'm1_cpu', port 'sys_irq_i[26]' is not connected to any nets. (LINT-28)
613
Warning: In design 'm1_cpu', port 'sys_irq_i[25]' is not connected to any nets. (LINT-28)
614
Warning: In design 'm1_cpu', port 'sys_irq_i[24]' is not connected to any nets. (LINT-28)
615
Warning: In design 'm1_cpu', port 'sys_irq_i[23]' is not connected to any nets. (LINT-28)
616
Warning: In design 'm1_cpu', port 'sys_irq_i[22]' is not connected to any nets. (LINT-28)
617
Warning: In design 'm1_cpu', port 'sys_irq_i[21]' is not connected to any nets. (LINT-28)
618
Warning: In design 'm1_cpu', port 'sys_irq_i[20]' is not connected to any nets. (LINT-28)
619
Warning: In design 'm1_cpu', port 'sys_irq_i[19]' is not connected to any nets. (LINT-28)
620
Warning: In design 'm1_cpu', port 'sys_irq_i[18]' is not connected to any nets. (LINT-28)
621
Warning: In design 'm1_cpu', port 'sys_irq_i[17]' is not connected to any nets. (LINT-28)
622
Warning: In design 'm1_cpu', port 'sys_irq_i[16]' is not connected to any nets. (LINT-28)
623
Warning: In design 'm1_cpu', port 'sys_irq_i[15]' is not connected to any nets. (LINT-28)
624
Warning: In design 'm1_cpu', port 'sys_irq_i[14]' is not connected to any nets. (LINT-28)
625
Warning: In design 'm1_cpu', port 'sys_irq_i[13]' is not connected to any nets. (LINT-28)
626
Warning: In design 'm1_cpu', port 'sys_irq_i[12]' is not connected to any nets. (LINT-28)
627
Warning: In design 'm1_cpu', port 'sys_irq_i[11]' is not connected to any nets. (LINT-28)
628
Warning: In design 'm1_cpu', port 'sys_irq_i[10]' is not connected to any nets. (LINT-28)
629
Warning: In design 'm1_cpu', port 'sys_irq_i[9]' is not connected to any nets. (LINT-28)
630
Warning: In design 'm1_cpu', port 'sys_irq_i[8]' is not connected to any nets. (LINT-28)
631
Warning: In design 'm1_cpu', port 'sys_irq_i[7]' is not connected to any nets. (LINT-28)
632
Warning: In design 'm1_cpu', port 'sys_irq_i[6]' is not connected to any nets. (LINT-28)
633
Warning: In design 'm1_cpu', port 'sys_irq_i[5]' is not connected to any nets. (LINT-28)
634
Warning: In design 'm1_cpu', port 'sys_irq_i[4]' is not connected to any nets. (LINT-28)
635
Warning: In design 'm1_cpu', port 'sys_irq_i[3]' is not connected to any nets. (LINT-28)
636
Warning: In design 'm1_cpu', port 'sys_irq_i[2]' is not connected to any nets. (LINT-28)
637
Warning: In design 'm1_cpu', port 'sys_irq_i[1]' is not connected to any nets. (LINT-28)
638
Warning: In design 'm1_cpu', port 'sys_irq_i[0]' is not connected to any nets. (LINT-28)
639
Warning: In design 'm1_cpu', net 'alu_carry_o' driven by pin 'alu_0/carry_o' has no loads. (LINT-2)
640
Warning: In design 'm1_cpu', net 'div_signed_i' has no drivers.  Logic 0 assumed. (LINT-3)
641
Warning: In design 'alu', port 'carry_o' is not connected to any nets. (LINT-28)
642
1
643
# Constraints
644
create_clock -name "sys_clock_i" -period 2.0 -waveform {0 1.0} [get_ports "sys_clock_i"]
645
1
646
set_dont_touch_network [get_clocks "sys_clock_i"]
647
1
648
set_input_delay 1.25 -max -rise -clock "sys_clock_i" [get_ports "sys_reset_i"]
649
1
650
set_input_delay 1.25 -max -fall -clock "sys_clock_i" [get_ports "sys_reset_i"]
651
1
652
set_output_delay 1.25 -clock sys_clock_i -max -rise [all_outputs]
653
1
654
set_output_delay 1.25 -clock sys_clock_i -max -fall [all_outputs]
655
1
656
set_wire_load_mode "enclosed"
657
1
658
# Compile
659
compile
660
Information: Evaluating DesignWare library utilization. (UISN-27)
661
 
662
============================================================================
663
| DesignWare Library                                         |  Available  |
664
============================================================================
665
| DesignWare-Basic                                           |      *      |
666
| DesignWare-Foundation                                      |             |
667
============================================================================
668
 
669
 
670
  Loading target library 'umce13h210t3_tc_120V_25C'
671
  Loading design 'm1_cpu'
672
 
673
 
674
Information: Changed wire load model for 'divider' from '(none)' to 'zero_load'. (OPT-170)
675
Information: Changed wire load model for 'multiplier' from '(none)' to 'zero_load'. (OPT-170)
676
Information: Changed wire load model for 'alu' from '(none)' to 'zero_load'. (OPT-170)
677
Warning: Design 'm1_cpu' contains 4 high-fanout nets. A fanout number of 1000 will be used for delay calculations involving these nets. (TIM-134)
678
 
679
  Beginning Resource Allocation  (constraint driven)
680
  -----------------------------
681
  Structuring 'divider'
682
  Mapping 'divider'
683
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_146' from '(none)' to 'suggested_10K'. (OPT-170)
684
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_144' from '(none)' to 'suggested_10K'. (OPT-170)
685
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_142' from '(none)' to 'suggested_10K'. (OPT-170)
686
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_140' from '(none)' to 'suggested_10K'. (OPT-170)
687
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_138' from '(none)' to 'suggested_10K'. (OPT-170)
688
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_136' from '(none)' to 'suggested_10K'. (OPT-170)
689
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_134' from '(none)' to 'suggested_10K'. (OPT-170)
690
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_132' from '(none)' to 'suggested_10K'. (OPT-170)
691
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_130' from '(none)' to 'suggested_10K'. (OPT-170)
692
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_128' from '(none)' to 'suggested_10K'. (OPT-170)
693
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_126' from '(none)' to 'suggested_10K'. (OPT-170)
694
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_124' from '(none)' to 'suggested_10K'. (OPT-170)
695
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_122' from '(none)' to 'suggested_10K'. (OPT-170)
696
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_120' from '(none)' to 'suggested_10K'. (OPT-170)
697
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_118' from '(none)' to 'suggested_10K'. (OPT-170)
698
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_116' from '(none)' to 'suggested_10K'. (OPT-170)
699
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_114' from '(none)' to 'suggested_10K'. (OPT-170)
700
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_112' from '(none)' to 'suggested_10K'. (OPT-170)
701
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_110' from '(none)' to 'suggested_10K'. (OPT-170)
702
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_108' from '(none)' to 'suggested_10K'. (OPT-170)
703
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_106' from '(none)' to 'suggested_10K'. (OPT-170)
704
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_104' from '(none)' to 'suggested_10K'. (OPT-170)
705
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_102' from '(none)' to 'suggested_10K'. (OPT-170)
706
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_100' from '(none)' to 'suggested_10K'. (OPT-170)
707
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_98' from '(none)' to 'suggested_10K'. (OPT-170)
708
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_96' from '(none)' to 'suggested_10K'. (OPT-170)
709
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_94' from '(none)' to 'suggested_10K'. (OPT-170)
710
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_92' from '(none)' to 'suggested_10K'. (OPT-170)
711
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_90' from '(none)' to 'suggested_10K'. (OPT-170)
712
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_88' from '(none)' to 'suggested_10K'. (OPT-170)
713
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_86' from '(none)' to 'suggested_10K'. (OPT-170)
714
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_84' from '(none)' to 'suggested_10K'. (OPT-170)
715
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_82' from '(none)' to 'suggested_10K'. (OPT-170)
716
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_80' from '(none)' to 'suggested_10K'. (OPT-170)
717
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_78' from '(none)' to 'suggested_10K'. (OPT-170)
718
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_76' from '(none)' to 'suggested_10K'. (OPT-170)
719
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_74' from '(none)' to 'suggested_10K'. (OPT-170)
720
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_72' from '(none)' to 'suggested_10K'. (OPT-170)
721
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_70' from '(none)' to 'suggested_10K'. (OPT-170)
722
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_68' from '(none)' to 'suggested_10K'. (OPT-170)
723
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_66' from '(none)' to 'suggested_10K'. (OPT-170)
724
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_64' from '(none)' to 'suggested_10K'. (OPT-170)
725
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_62' from '(none)' to 'suggested_10K'. (OPT-170)
726
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_60' from '(none)' to 'suggested_10K'. (OPT-170)
727
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_58' from '(none)' to 'suggested_10K'. (OPT-170)
728
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_56' from '(none)' to 'suggested_10K'. (OPT-170)
729
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_54' from '(none)' to 'suggested_10K'. (OPT-170)
730
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_52' from '(none)' to 'suggested_10K'. (OPT-170)
731
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_50' from '(none)' to 'suggested_10K'. (OPT-170)
732
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_48' from '(none)' to 'suggested_10K'. (OPT-170)
733
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_46' from '(none)' to 'suggested_10K'. (OPT-170)
734
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_44' from '(none)' to 'suggested_10K'. (OPT-170)
735
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_42' from '(none)' to 'suggested_10K'. (OPT-170)
736
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_40' from '(none)' to 'suggested_10K'. (OPT-170)
737
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_38' from '(none)' to 'suggested_10K'. (OPT-170)
738
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_36' from '(none)' to 'suggested_10K'. (OPT-170)
739
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_34' from '(none)' to 'suggested_10K'. (OPT-170)
740
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_32' from '(none)' to 'suggested_10K'. (OPT-170)
741
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_30' from '(none)' to 'suggested_10K'. (OPT-170)
742
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_28' from '(none)' to 'suggested_10K'. (OPT-170)
743
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_26' from '(none)' to 'suggested_10K'. (OPT-170)
744
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_24' from '(none)' to 'suggested_10K'. (OPT-170)
745
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_22' from '(none)' to 'suggested_10K'. (OPT-170)
746
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_20' from '(none)' to 'suggested_10K'. (OPT-170)
747
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_18' from '(none)' to 'suggested_10K'. (OPT-170)
748
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_16' from '(none)' to 'suggested_10K'. (OPT-170)
749
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_14' from '(none)' to 'suggested_10K'. (OPT-170)
750
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_12' from '(none)' to 'suggested_10K'. (OPT-170)
751
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_10' from '(none)' to 'suggested_10K'. (OPT-170)
752
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_8' from '(none)' to 'suggested_10K'. (OPT-170)
753
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_6' from '(none)' to 'suggested_10K'. (OPT-170)
754
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_4' from '(none)' to 'suggested_10K'. (OPT-170)
755
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32_2' from '(none)' to 'suggested_10K'. (OPT-170)
756
Information: Changed wire load model for 'm1_cpu_MUX_OP_32_5_32' from '(none)' to 'suggested_10K'. (OPT-170)
757
  Structuring 'multiplier'
758
  Mapping 'multiplier'
759
  Structuring 'alu'
760
  Mapping 'alu'
761
  Structuring 'm1_cpu'
762
  Mapping 'm1_cpu'
763
  Allocating blocks in 'm1_cpu'
764
Reading in the Synopsys synthetic primitives.
765
  Allocating blocks in 'DW01_add_32'
766
  Building model 'DW01_add_32' (rpl)
767
Information: Changed wire load model for 'DW01_add_32' from '(none)' to 'suggested_10K'. (OPT-170)
768
  Allocating blocks in 'alu_0'
769
  Allocating blocks in 'DW01_sub_32'
770
  Building model 'DW01_sub_32' (rpl)
771
Information: Changed wire load model for 'DW01_sub_32' from '(none)' to 'suggested_10K'. (OPT-170)
772
  Allocating blocks in 'mul_0'
773
  Allocating blocks in 'DW01_ADD_AB'
774
  Building model 'DW01_ADD_AB'
775
Information: Changed wire load model for 'DW01_ADD_AB' from '(none)' to 'zero_load'. (OPT-170)
776
Information: Changed wire load model for 'DW01_ADD_AB' from 'zero_load' to 'suggested_10K'. (OPT-170)
777
  Allocating blocks in 'DW01_ADD_AB1'
778
  Building model 'DW01_ADD_AB1'
779
Information: Changed wire load model for 'DW01_ADD_AB1' from '(none)' to 'zero_load'. (OPT-170)
780
Information: Changed wire load model for 'DW01_ADD_AB1' from 'zero_load' to 'suggested_10K'. (OPT-170)
781
  Allocating blocks in 'DW01_cmp2_6'
782
  Building model 'DW01_cmp2_6' (rpl)
783
Information: Changed wire load model for 'DW01_cmp2_6' from '(none)' to 'suggested_10K'. (OPT-170)
784
  Allocating blocks in 'DW01_inc_32'
785
  Building model 'DW01_inc_32' (rpl)
786
Information: Changed wire load model for 'DW01_inc_32' from '(none)' to 'suggested_10K'. (OPT-170)
787
  Allocating blocks in 'DW01_dec_6'
788
  Building model 'DW01_dec_6' (rpl)
789
Information: Changed wire load model for 'DW01_dec_6' from '(none)' to 'suggested_10K'. (OPT-170)
790
  Allocating blocks in 'DW01_add_64'
791
  Building model 'DW01_add_64' (rpl)
792
Information: Changed wire load model for 'DW01_add_64' from '(none)' to 'suggested_10K'. (OPT-170)
793
  Allocating blocks in 'DW01_inc_64'
794
  Building model 'DW01_inc_64' (rpl)
795
Information: Changed wire load model for 'DW01_inc_64' from '(none)' to 'suggested_10K'. (OPT-170)
796
  Allocating blocks in 'div_0'
797
  Allocating blocks in 'DW01_sub_64'
798
  Building model 'DW01_sub_64' (rpl)
799
Information: Changed wire load model for 'DW01_sub_64' from '(none)' to 'suggested_10K'. (OPT-170)
800
Warning: Design 'm1_cpu' contains 13 high-fanout nets. A fanout number of 1000 will be used for delay calculations involving these nets. (TIM-134)
801
  Allocating blocks in 'div_0'
802
  Allocating blocks in 'DW01_incdec_6'
803
  Building model 'DW01_incdec_6' (rpl)
804
Information: Changed wire load model for 'DW01_incdec_6' from '(none)' to 'suggested_10K'. (OPT-170)
805
  Allocating blocks in 'DW01_addsub_64'
806
  Building model 'DW01_addsub_64' (rpl)
807
Information: Changed wire load model for 'DW01_addsub_64' from '(none)' to 'suggested_10K'. (OPT-170)
808
  Allocating blocks in 'DW01_incdec_32'
809
  Building model 'DW01_incdec_32' (rpl)
810
Information: Changed wire load model for 'DW01_incdec_32' from '(none)' to 'suggested_10K'. (OPT-170)
811
  Allocating blocks in 'DW01_cmp6_6'
812
  Building model 'DW01_cmp6_6' (rpl)
813
Information: Changed wire load model for 'DW01_cmp6_6' from '(none)' to 'suggested_10K'. (OPT-170)
814
  Allocating blocks in 'DW01_GP_DEC'
815
  Building model 'DW01_GP_DEC'
816
Information: Changed wire load model for 'DW01_GP_DEC' from '(none)' to 'zero_load'. (OPT-170)
817
Information: Changed wire load model for 'DW01_GP_DEC' from 'zero_load' to 'suggested_10K'. (OPT-170)
818
  Allocating blocks in 'DW01_CL_DEC'
819
  Building model 'DW01_CL_DEC'
820
Information: Changed wire load model for 'DW01_CL_DEC' from '(none)' to 'zero_load'. (OPT-170)
821
Information: Changed wire load model for 'DW01_CL_DEC' from 'zero_load' to 'suggested_10K'. (OPT-170)
822
  Allocating blocks in 'DW01_inc_32'
823
  Building model 'DW01_inc_32' (cla)
824
Information: Changed wire load model for 'DW01_inc_32' from '(none)' to 'suggested_10K'. (OPT-170)
825
  Allocating blocks in 'DW01_sub_64'
826
  Building model 'DW01_sub_64' (cla)
827
Information: Changed wire load model for 'DW01_sub_64' from '(none)' to 'suggested_10K'. (OPT-170)
828
  Allocating blocks in 'DW01_dec_6'
829
  Building model 'DW01_dec_6' (cla)
830
Information: Changed wire load model for 'DW01_dec_6' from '(none)' to 'suggested_10K'. (OPT-170)
831
  Allocating blocks in 'mul_0'
832
  Allocating blocks in 'DW01_sub_6'
833
  Building model 'DW01_sub_6' (cla)
834
Information: Changed wire load model for 'DW01_sub_6' from '(none)' to 'suggested_10K'. (OPT-170)
835
  Allocating blocks in 'DW01_add_64'
836
  Building model 'DW01_add_64' (cla)
837
Information: Changed wire load model for 'DW01_add_64' from '(none)' to 'suggested_10K'. (OPT-170)
838
  Allocating blocks in 'DW01_inc_64'
839
  Building model 'DW01_inc_64' (cla)
840
Information: Changed wire load model for 'DW01_inc_64' from '(none)' to 'suggested_10K'. (OPT-170)
841
  Allocating blocks in 'alu_0'
842
  Allocating blocks in 'm1_cpu'
843
  Allocating blocks in 'DW01_add_32'
844
  Building model 'DW01_add_32' (cla)
845
Information: Changed wire load model for 'DW01_add_32' from '(none)' to 'suggested_10K'. (OPT-170)
846
Warning: Design 'm1_cpu' contains 13 high-fanout nets. A fanout number of 1000 will be used for delay calculations involving these nets. (TIM-134)
847
Warning: Design 'm1_cpu' contains 14 high-fanout nets. A fanout number of 1000 will be used for delay calculations involving these nets. (TIM-134)
848
 
849
  Beginning Pass 1 Mapping
850
  ------------------------
851
  Structuring 'divider'
852
  Mapping 'divider'
853
  Structuring 'multiplier'
854
  Mapping 'multiplier'
855
  Structuring 'alu'
856
  Mapping 'alu'
857
 
858
 
859
Fatal: Internal system error, cannot recover.
860
You can use SOLV-IT! Fatal Hunt to search for articles that may
861
be related to this error.
862
If you wish to use SOLV-IT, please complete the following steps:
863
    1. Copy and paste everything between the dotted lines
864
       into the body of an email message.
865
    2. Replace  with the
866
       email address you use to access the SolvNET system.
867
    3. Please email the message to solvit@synopsys.com.
868
---------------------cut below this line-----------------
869
start:
870
email: 
871
getfatal:
872
 
873
Release = '2001.08'  Architecture = 'linux'  Program = 'dc_shell'
874
 
875
'157020216 157020433 -7136 157134368 157134600 157134849 157141334 157143525 157151486 157151931 156943841 156944043 154789868 151978446 151982171 151982899 151997244 151999214 152000506 152000984 148931890 148931359 148942090 148942385 144113656 144115019 144158539 144115340 144181534 144180868 138712934 138713864 138733053 135672509 135674983 135675109 135675141 135863026 156761771 157186325 157398122 157399922 157400506 156742228 156754503 156761771 157186325 157398122 157399922 157400506 157194304 156764238 156763703 134549145 134558355 134558029 134557880 134538310'
876
 
877
end:
878
---------------------cut above this line-----------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.