OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

[/] [m1_core/] [trunk/] [tests/] [verif/] [j.c] - Blame information for rev 58

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 39 fafa1971
int main() {
2
  int a = 5;   //r3
3
  int b = 7;
4
  int c = a+b;
5
  asm(".long 0x08000013 ");    // = j 4c ; 13 va shiftato a sinistra di 2 posizioni per ottenere 4c ; 0x08000013 = 0000_1000_0000_0000_0000_0000_0001_0011
6
  asm("nop");
7
  asm("MTHI $3");
8
  asm("NOR $5, $2 ,$3");
9
  asm("sotto:");
10
        return 0;
11
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.