OpenCores
URL https://opencores.org/ocsvn/m32632/m32632/trunk

Subversion Repositories m32632

[/] [m32632/] [trunk/] [TRIPUTER/] [TRIPUTER.sdc] - Blame information for rev 35

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 35 ns32kum
## Copyright (C) 1991-2008 Altera Corporation
2
## Your use of Altera Corporation's design tools, logic functions
3
## and other software and tools, and its AMPP partner logic
4
## functions, and any output files from any of the foregoing
5
## (including device programming or simulation files), and any
6
## associated documentation or information are expressly subject
7
## to the terms and conditions of the Altera Program License
8
## Subscription Agreement, Altera MegaCore Function License
9
## Agreement, or other applicable license agreement, including,
10
## without limitation, that your use is for the sole purpose of
11
## programming logic devices manufactured by Altera and sold by
12
## Altera or its authorized distributors.  Please refer to the
13
## applicable agreement for further details.
14
 
15
 
16
## VENDOR  "Altera"
17
## PROGRAM "Quartus II"
18
## VERSION "Version 8.1 Build 163 10/28/2008 SJ Web Edition"
19
 
20
## DATE    "Mon Mar 08 15:49:34 2010"
21
 
22
##
23
## DEVICE  "EP4CE22F17C6"
24
##
25
 
26
#**************************************************************
27
# Time Information
28
#**************************************************************
29
 
30
set_time_format -unit ns -decimal_places 3
31
 
32
#**************************************************************
33
# Create Clock
34
#**************************************************************
35
 
36
create_clock -name {clock_ref}  -period 20.000 -waveform { 0.000 10.000 } [get_ports {RCLK}]
37
 
38
#**************************************************************
39
# Create Generated Clock
40
#**************************************************************
41
 
42
#derive_pll_clocks
43
#create_generated_clock -name {clock_mclk}  -source [get_ports {CLK}]   -divide_by 1 -multiply_by  4 [get_pins {MPLL|altpll_component|auto_generated|pll1|clk[0]}]
44
 
45
#**************************************************************
46
# Set Clock Latency
47
#**************************************************************
48
 
49
 
50
 
51
#**************************************************************
52
# Set Clock Uncertainty
53
#**************************************************************
54
 
55
set_clock_uncertainty 0.1 -to clock_ref
56
 
57
#**************************************************************
58
# Set Input Delay
59
#**************************************************************
60
 
61
set_input_delay -clock clock_ref 5 [get_ports {RST_N}]
62
set_input_delay -clock clock_ref 5 [get_ports {SSW[*}]
63
set_input_delay -clock clock_ref 5 [get_ports {UA_RX}]
64
set_input_delay -clock clock_ref 5 [get_ports {SRDB[*}]
65
 
66
#**************************************************************
67
# Set Output Delay
68
#**************************************************************
69
 
70
set_output_delay -clock clock_ref  5 [get_ports {UA_TX}]
71
set_output_delay -clock clock_ref  5 [get_ports {SRAA[*}]
72
set_output_delay -clock clock_ref  5 [get_ports SRCO[4]]
73
set_output_delay -clock clock_ref  5 [get_ports SRCO[3]]
74
set_output_delay -clock clock_ref  5 -clock_fall [get_ports SRCO[2]]
75
set_output_delay -clock clock_ref  5 [get_ports SRCO[1]]
76
set_output_delay -clock clock_ref  5 [get_ports SRCO[0]]
77
set_output_delay -clock clock_ref  5 [get_ports {SRDB[*}]
78
set_output_delay -clock clock_ref  5 [get_ports {HEX*}]
79
set_output_delay -clock clock_ref  5 [get_ports {LED*}]
80
 
81
#**************************************************************
82
# Set Clock Groups
83
#**************************************************************
84
 
85
 
86
#**************************************************************
87
# Set False Path
88
#**************************************************************
89
 
90
# Control Signals from one clock domain to another clock domain
91
 
92
#**************************************************************
93
# Set Multicycle Path
94
#**************************************************************
95
 
96
 
97
#**************************************************************
98
# Set Maximum Delay
99
#**************************************************************
100
 
101
 
102
#**************************************************************
103
# Set Minimum Delay
104
#**************************************************************
105
 
106
 
107
#**************************************************************
108
# Set Input Transition
109
#**************************************************************
110
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.