OpenCores
URL https://opencores.org/ocsvn/m32632/m32632/trunk

Subversion Repositories m32632

[/] [m32632/] [trunk/] [rtl/] [CACHE_LOGIK.v] - Blame information for rev 11

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 ns32kum
// +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
2
//
3
// This file is part of the M32632 project
4
// http://opencores.org/project,m32632
5
//
6
// Filename: CACHE_LOGIK.v
7
// Version:  1.0
8
// Date:     30 May 2015
9
//
10
// Copyright (C) 2015 Udo Moeller
11
// 
12
// This source file may be used and distributed without 
13
// restriction provided that this copyright statement is not 
14
// removed from the file and that any derivative work contains 
15
// the original copyright notice and the associated disclaimer.
16
// 
17
// This source file is free software; you can redistribute it 
18
// and/or modify it under the terms of the GNU Lesser General 
19
// Public License as published by the Free Software Foundation;
20
// either version 2.1 of the License, or (at your option) any 
21
// later version. 
22
// 
23
// This source is distributed in the hope that it will be 
24
// useful, but WITHOUT ANY WARRANTY; without even the implied 
25
// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR 
26
// PURPOSE. See the GNU Lesser General Public License for more 
27
// details. 
28
// 
29
// You should have received a copy of the GNU Lesser General 
30
// Public License along with this source; if not, download it 
31
// from http://www.opencores.org/lgpl.shtml 
32
// 
33
// +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
34
//
35
//      Modules contained in this file:
36
//      1. DEBUG_AE     Debug unit for address compare in data cache
37
//      2. MMU_UP               MMU memory update and initalization controller
38
//      3. DCA_CONTROL  Data cache valid memory update and initalization controller
39
//      4. MMU_MATCH    MMU virtual address match detector
40
//      5. CA_MATCH             Cache tag match detector
41
//      6. DCACHE_SM    Data cache state machine
42
//
43 11 ns32kum
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
44 9 ns32kum
 
45 11 ns32kum
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
46 9 ns32kum
//
47
//      1. DEBUG_AE     Debug unit for address compare in data cache
48
//
49 11 ns32kum
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
50 9 ns32kum
module DEBUG_AE ( DBG_IN, READ, WRITE, USER, VIRTUELL, ACC_OK, VADR_R, MMU_Q, ENBYTE, DBG_HIT );
51
 
52
        input   [40:2]  DBG_IN;
53
 
54
        input                   READ,WRITE;
55
        input                   USER;
56
        input                   VIRTUELL;
57
        input                   ACC_OK;
58
        input   [31:2]  VADR_R;
59
        input   [19:0]   MMU_Q;
60
        input    [3:0]   ENBYTE;
61
 
62
        output                  DBG_HIT;
63
 
64
        wire                    sd,ud,crd,cwr,vnp;
65
        wire                    make;
66
        wire                    virt_adr,real_adr,page_adr;
67
        wire                    byte_en;
68
 
69
        assign sd  = DBG_IN[40];
70
        assign ud  = DBG_IN[39];
71
        assign crd = DBG_IN[38];
72
        assign cwr = DBG_IN[37];
73
        assign vnp = DBG_IN[36];
74
 
75
        assign make =  ((ud & USER) | (sd & ~USER))             // compare USER or SUPERVISOR
76
                                 & (VIRTUELL == vnp)                            // compare real or virtual address
77
                                 & ((cwr & WRITE) | (crd & READ));      // compare READ or WRITE
78
 
79
        assign virt_adr = (MMU_Q                 == DBG_IN[31:12]);
80
        assign real_adr = (VADR_R[31:12] == DBG_IN[31:12]);
81
        assign page_adr = (VADR_R[11:2]  == DBG_IN[11:2]);
82
 
83
        assign byte_en  = |(ENBYTE & DBG_IN[35:32]);
84
 
85
        assign DBG_HIT  =  ACC_OK               // all valid
86
                                         & make                 // selection is valid
87
                                         & (VIRTUELL ? virt_adr : real_adr)     & page_adr      // address
88
                                         & byte_en;             // Byte Enable
89
 
90
endmodule
91
 
92 11 ns32kum
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
93 9 ns32kum
//
94
//      2. MMU_UP               MMU memory update and initalization controller
95
//
96 11 ns32kum
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
97 9 ns32kum
module MMU_UP ( BCLK, BRESET, NEW_PTB, PTB1, IVAR, WR_MRAM, VADR, VADR_R, MVALID, UPDATE,
98
                                WE_MV, WADR_MV, RADR_MV, DAT_MV, NEW_PTB_RUN );
99
 
100
        input                   BCLK;
101
        input                   BRESET;
102
        input                   NEW_PTB;        // the MMU memory is cleared. Pulse of one BCLK cycle, Op-Dec is waiting
103
        input                   PTB1;           // which one
104
        input                   IVAR;
105
        input                   WR_MRAM;        // BCLK : update MRAM and MMU_VAL
106
        input  [19:16]  VADR,VADR_R;    // For update
107
        input   [31:0]   MVALID,UPDATE;
108
 
109
        output                  WE_MV;          // Write Enable MMU Valid
110
        output   [3:0]   WADR_MV,RADR_MV;
111
        output  [31:0]   DAT_MV;
112
        output                  NEW_PTB_RUN;
113
 
114
        reg                             neue_ptb,wr_flag,old_rst,run_over;
115
        reg              [3:0]   count;
116
 
117
        wire    [15:0]   new_val;
118
 
119
        assign WE_MV   = wr_flag | WR_MRAM | IVAR;      // write on falling edge BCLK
120
        assign RADR_MV = run_over ? count : VADR;
121
        assign WADR_MV = wr_flag ? (count - 4'b0001) : VADR_R;
122 11 ns32kum
        assign DAT_MV  = wr_flag ? {MVALID[31:16],new_val} : UPDATE;    // Only the matching entries are cleared : PTB0/PTB1
123 9 ns32kum
 
124
        // [31:16] Address-Space memory, [15:0] Valid memory
125 11 ns32kum
        assign new_val = neue_ptb ? (PTB1 ? (MVALID[15:0] & ~MVALID[31:16]) : (MVALID[15:0] & MVALID[31:16])) : 16'h0;
126 9 ns32kum
 
127
        always @(posedge BCLK or negedge BRESET)
128
                if (!BRESET) neue_ptb <= 1'b0;
129
                        else neue_ptb <= NEW_PTB | (neue_ptb & run_over);
130
 
131
        always @(posedge BCLK) old_rst <= BRESET;       // after Reset all will be set to 0 
132
 
133
        always @(posedge BCLK) run_over <= ((~old_rst | NEW_PTB) | (run_over & (count != 4'hF))) & BRESET;
134
 
135
        always @(posedge BCLK) count <= run_over ? count + 4'h1 : 4'h0;
136
 
137
        always @(posedge BCLK) wr_flag <= run_over;
138
 
139
        assign NEW_PTB_RUN = wr_flag;   // Info to Op-Dec
140
 
141
endmodule
142
 
143 11 ns32kum
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
144 9 ns32kum
//
145
//      3. DCA_CONTROL  Data cache valid memory update and initalization controller
146
//
147 11 ns32kum
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
148
module DCA_CONTROL ( BCLK, MCLK, BRESET, CUPDATE, DRAM_ACC, CA_SET, HIT_ALL, WRCFG, VADR_R, UPDATE, INVAL_A, WRITE,
149 9 ns32kum
                                         WCTRL, KILL, WRCRAM0, WRCRAM1, WE_CV, WADR_CV, DAT_CV, INIT_CA_RUN, WRSET0, WRSET1 );
150
 
151
        input                   BCLK;
152
        input                   MCLK;
153
        input                   BRESET;
154
        input                   CUPDATE;        // State CUPDATE : Cache is filled from DRAM
155
        input                   DRAM_ACC;
156
        input                   CA_SET;
157
        input                   HIT_ALL;        // a complete cache hit !
158
        input                   WRCFG;          // static signal : GND or VDD
159
        input   [11:7]  VADR_R;
160
        input   [23:0]   UPDATE;
161
        input                   INVAL_A;
162
        input                   WRITE;
163 11 ns32kum
        input    [1:0]   WCTRL;          // [1] : Read Burst Signal from DRAM controller, MCLK aligned. [0] : Cache inhibit
164 9 ns32kum
        input                   KILL;           // valid Ram must be updated because of collision ... or CINV
165
 
166
        output                  WRCRAM0,WRCRAM1;
167
        output                  WE_CV;
168
        output   [4:0]   WADR_CV;
169
        output  [23:0]   DAT_CV;
170
        output                  INIT_CA_RUN;
171
        output                  WRSET0,WRSET1;
172
 
173
        reg              [1:0]   state;
174
        reg              [4:0]   acount;
175
        reg                             ca_set_d;
176
 
177
        reg                             dly_bclk,zero,wr_puls;
178
        reg              [2:0]   count,refer;
179
 
180
        wire                    countf;
181
 
182
        // physical address is stored in TAG-RAM
183
 
184
        assign WRCRAM0 = (CUPDATE & ~WCTRL[0]) & ~CA_SET;
185
        assign WRCRAM1 = (CUPDATE & ~WCTRL[0]) &  CA_SET;
186
 
187
        // Load Valid RAM :
188
 
189
        assign WE_CV   = state[1] | HIT_ALL | (CUPDATE & ~WCTRL[0]) | KILL; // Hit All for "Last" Update
190
        assign WADR_CV = state[1] ? acount : VADR_R;
191
        assign DAT_CV  = state[1] ? 24'h0 : UPDATE;
192
 
193
        // Clear of Cache-Valid RAMs : 32 clocks of BCLK
194
 
195
        assign countf = (acount == 5'h1F);
196
 
197
        always @(posedge BCLK)
198
                casex ({BRESET,INVAL_A,countf,state[1:0]})
199
                  5'b0xx_xx : state <= 2'b01;
200
                  5'b1xx_01 : state <= 2'b10;           // start counter
201
                  5'b10x_00 : state <= 2'b00;           // wait ...
202
                  5'b11x_00 : state <= 2'b10;
203
                  5'b1x0_10 : state <= 2'b10;
204
                  5'b1x1_10 : state <= 2'b00;
205
                  default   : state <= 2'b0;
206
                endcase
207
 
208
        always @(posedge BCLK) if (!state[1]) acount <= 5'h0; else acount <= acount + 5'h01;
209
 
210
        assign INIT_CA_RUN = state[1];
211
 
212
        always @(posedge BCLK) if (DRAM_ACC) ca_set_d <= CA_SET;
213
 
214
        // WRITE Control in data RAMs
215
        assign WRSET0 = ( ~CA_SET & WRITE & HIT_ALL & wr_puls) | (WCTRL[1] & ~ca_set_d);
216
        assign WRSET1 = (  CA_SET & WRITE & HIT_ALL & wr_puls) | (WCTRL[1] &  ca_set_d);
217
 
218
        // ++++++++++++ Special circuit for Timing of write pulse for data RAM of data cache +++++++++
219
 
220
        always @(negedge MCLK) dly_bclk <= BCLK;
221
 
222
        always @(negedge MCLK) zero <= BCLK & ~dly_bclk;
223
 
224
        always @(posedge MCLK) if (zero) count <= 3'd0; else count <= count + 3'd1;
225
 
226
        //    count at zero , ref Wert
227
        // 1 : --- always on    5 : 100  001
228
        // 2 : 001  000                 6 : 101  010
229
        // 3 : 010  010                 7 : 110  011
230
        // 4 : 011  000                 8 : 111  100
231 11 ns32kum
        always @(posedge MCLK) if (zero) refer <= {(count == 3'd7),((count == 3'd5) | (count[1:0] == 2'b10)),(count[2] & ~count[0])};
232 9 ns32kum
 
233
        always @(posedge MCLK) wr_puls <= (count == refer) | WRCFG;
234
 
235
endmodule
236
 
237 11 ns32kum
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
238 9 ns32kum
//
239
//      4. MMU_MATCH    MMU virtual address match detector
240
//
241 11 ns32kum
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
242 9 ns32kum
module MMU_MATCH ( USER, READ, WRITE, RMW, MCR_FLAGS, MVALID, VADR_R, MMU_VA, IVAR,
243
                                   VIRTUELL, MMU_HIT , UPDATE, PROT_ERROR, CI, SEL_PTB1 );
244
 
245
        input                   USER;
246
        input                   READ;
247
        input                   WRITE;
248
        input                   RMW;
249
        input    [2:0]   MCR_FLAGS;
250
        input   [31:0]   MVALID;
251
        input  [31:12]  VADR_R;
252
        input  [31:16]  MMU_VA;
253
        input    [1:0]   IVAR;   // Invalidate Entry
254
 
255
        output                  VIRTUELL;       // only for Adress-Mux
256
        output                  MMU_HIT;
257
        output  [31:0]   UPDATE;
258
        output  reg             PROT_ERROR;     // if valid must suppress write in Write Buffer and cache
259
        output                  CI,SEL_PTB1;
260
 
261
        reg             [15:0]   maske;
262
 
263
        wire                    adr_space,as_sorte,match,alles_ok;
264
        wire    [15:0]   val_bits,as_bits;
265
        wire                    ena_prot;
266
        wire                    zugriff;
267
 
268
        assign zugriff = READ | WRITE;
269
 
270
        always @(VADR_R)
271
                case (VADR_R[15:12])
272
                  4'h0 : maske = 16'h0001;
273
                  4'h1 : maske = 16'h0002;
274
                  4'h2 : maske = 16'h0004;
275
                  4'h3 : maske = 16'h0008;
276
                  4'h4 : maske = 16'h0010;
277
                  4'h5 : maske = 16'h0020;
278
                  4'h6 : maske = 16'h0040;
279
                  4'h7 : maske = 16'h0080;
280
                  4'h8 : maske = 16'h0100;
281
                  4'h9 : maske = 16'h0200;
282
                  4'hA : maske = 16'h0400;
283
                  4'hB : maske = 16'h0800;
284
                  4'hC : maske = 16'h1000;
285
                  4'hD : maske = 16'h2000;
286
                  4'hE : maske = 16'h4000;
287
                  4'hF : maske = 16'h8000;
288
                endcase
289
 
290
        assign VIRTUELL = USER ? MCR_FLAGS[0] : MCR_FLAGS[1];
291
 
292 11 ns32kum
        assign adr_space = IVAR[1] ? IVAR[0] : (MCR_FLAGS[2] & USER);    // adr_space = IVARx ? 1 or 0 : DualSpace & TU
293 9 ns32kum
 
294
        assign as_sorte = ((MVALID[31:16] & maske) != 16'h0);
295
 
296 11 ns32kum
        assign match = (VADR_R[31:20] == MMU_VA[31:20]) & (adr_space == as_sorte) & ((MVALID[15:0] & maske) != 16'h0000);
297 9 ns32kum
 
298 11 ns32kum
        assign alles_ok = match & ( ~WRITE | MMU_VA[17] ) & ~PROT_ERROR;        // Modified - Flag : reload the PTE
299 9 ns32kum
 
300
        // if MMU_HIT = 0 then there is no Write-Buffer access abd no update of cache !
301
        assign MMU_HIT = zugriff ? ( VIRTUELL ? alles_ok : 1'b1 ) : 1'b0 ;      // MMU off : then always HIT
302
 
303
        assign val_bits = IVAR[1] ? (MVALID[15:0] & (match ? ~maske : 16'hFFFF)) : (MVALID[15:0] | maske);
304 11 ns32kum
        assign as_bits  = IVAR[1] ? MVALID[31:16] : (adr_space ? (MVALID[31:16] | maske) : (MVALID[31:16] & ~maske));
305 9 ns32kum
 
306
        assign UPDATE = {as_bits,val_bits};
307
 
308
        assign ena_prot = zugriff & VIRTUELL & match;
309
 
310
        // A Protection error must suppress write in WB and cache
311
        always @(ena_prot or MMU_VA or USER or WRITE or RMW)
312
                case ({ena_prot,MMU_VA[19:18]})
313
                   3'b100 : PROT_ERROR = USER | WRITE | RMW;    // Only Supervisor READ
314
                   3'b101 : PROT_ERROR = USER;                                  // no USER access
315
                   3'b110 : PROT_ERROR = USER & (WRITE | RMW);  // USER only READ
316
                  default : PROT_ERROR = 1'b0;
317
                endcase
318
 
319
        assign CI = VIRTUELL & MMU_VA[16];
320
        assign SEL_PTB1 = adr_space;            // For PTE update
321
 
322
endmodule
323
 
324 11 ns32kum
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
325 9 ns32kum
//
326
//      5. CA_MATCH             Cache tag match detector
327
//
328 11 ns32kum
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
329
module CA_MATCH ( CVALID, IOSEL, ADDR, TAG0, TAG1, CFG, WRITE, MMU_HIT, CI, INVAL_L, KDET, ENDRAM, DC_ILO,
330 9 ns32kum
                                  CA_HIT, CA_SET, UPDATE, IO_SPACE, USE_CA, WB_ACC, KILL );
331
 
332
        input   [23:0]   CVALID;
333
        input    [3:0]   IOSEL;
334
        input   [27:4]  ADDR;
335
        input  [27:12]  TAG0,TAG1;
336
        input    [1:0]   CFG;    // LDC , DC
337
        input                   WRITE;
338
        input                   MMU_HIT;
339
        input                   CI;
340
        input                   INVAL_L;        // invalid cache line
341
        input                   KDET;
342
        input                   ENDRAM;
343
        input                   DC_ILO;         // CBITI/SBITI special case
344
 
345
        output                  CA_HIT;
346
        output                  CA_SET; // if no Hit then says SET where to store
347
        output  [23:0]   UPDATE; // Update Information for CVALID memory 
348
        output                  IO_SPACE;
349
        output                  USE_CA;
350
        output                  WB_ACC;
351
        output                  KILL;
352
 
353
        reg              [7:0]   maske;
354
 
355
        wire                    match_0,match_1;
356
        wire                    valid_0,valid_1;
357
        wire                    select;
358
        wire                    clear;
359
        wire     [7:0]   update_0,update_1,lastinfo;
360
        wire                    sel_dram;
361
 
362
        always @(ADDR)
363
                case (ADDR[6:4])
364
                  3'h0 : maske = 8'h01;
365
                  3'h1 : maske = 8'h02;
366
                  3'h2 : maske = 8'h04;
367
                  3'h3 : maske = 8'h08;
368
                  3'h4 : maske = 8'h10;
369
                  3'h5 : maske = 8'h20;
370
                  3'h6 : maske = 8'h40;
371
                  3'h7 : maske = 8'h80;
372
                endcase
373
 
374
        assign valid_0 = (( CVALID[7:0] & maske) != 8'h00);
375
        assign valid_1 = ((CVALID[15:8] & maske) != 8'h00);
376
 
377
        assign match_0 = ( TAG0 == ADDR[27:12] );       // 4KB
378
        assign match_1 = ( TAG1 == ADDR[27:12] );       // 4KB
379
 
380
        assign CA_HIT = ((valid_0 & match_0) | (valid_1 & match_1)) & ~DC_ILO & CFG[0];
381
 
382
        // which SET is written in cache miss ? If both are valid the last used is not taken
383 11 ns32kum
        assign select = (valid_1 & valid_0) ? ~((CVALID[23:16] & maske) != 8'h00) : valid_0;    // Last-used field = CVALID[23:16]
384 9 ns32kum
 
385
        assign CA_SET = CA_HIT ? (valid_1 & match_1) : select;
386
 
387
        assign clear = INVAL_L | KDET;  // INVAL_L is from CINV
388
 
389
        assign update_0 = CA_SET ? CVALID[7:0] : (clear ? (CVALID[7:0] & ~maske) : (CVALID[7:0] | maske));
390 11 ns32kum
        assign update_1 = CA_SET ? (clear ? (CVALID[15:8] & ~maske) : (CVALID[15:8] | maske)) : CVALID[15:8];
391 9 ns32kum
 
392 11 ns32kum
        assign lastinfo = CA_HIT ? (CA_SET ? (CVALID[23:16] | maske) : (CVALID[23:16] & ~maske)) : CVALID[23:16];
393 9 ns32kum
 
394
        assign UPDATE = {lastinfo,update_1,update_0};
395
 
396
        assign KILL = clear & CA_HIT & ~CFG[1];         // only if cache is not locked
397
 
398
        assign sel_dram = (IOSEL == 4'b0000) & ENDRAM;  // at the moment the first 256 MB of memory
399
        assign IO_SPACE = ~sel_dram;                                    // not DRAM or DRAM ist off
400
        assign USE_CA   = ~CI & ~DC_ILO & CFG[0] & ~CFG[1];      // CI ? ILO ? Cache on ? Locked Cache ? 
401
        assign WB_ACC   = WRITE & MMU_HIT & sel_dram;
402
 
403
endmodule
404
 
405 11 ns32kum
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
406 9 ns32kum
//
407
//      6. DCACHE_SM    Data cache state machine
408
//
409 11 ns32kum
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
410
module DCACHE_SM ( BCLK, BRESET, IO_SPACE, MDONE, IO_READY, MMU_HIT, CA_HIT, READ, WRITE, ZTEST, RMW, CAPDAT, VADR_R, IC_VA,
411
                                   USE_CA, PTB_WR, PTB_SEL, SEL_PTB1, CPU_OUT, USER, PROT_ERROR, WB_ACC, ENWR, ADR_EQU, IC_PREQ, FILLRAM, ICTODC,
412 9 ns32kum
                                   RWVAL, VIRTUELL,
413 11 ns32kum
                                   DRAM_ACC, DRAM_WR, IO_ACC, IO_RD, IO_WR, PTE_MUX, PD_MUX, PKEEP, PTE_ADR, PTE_DAT, HIT_ALL, ACC_OK,
414
                                   ABORT, PROTECT, IACC_STAT, ABO_LEVEL1, WR_MRAM, CUPDATE, AUX_DAT, NEW_PTB, PTB_ONE, MMU_DIN, IC_SIGS, KOMUX,
415 9 ns32kum
                                   KDET, DMA_MUX, HLDA, RWVFLAG, PTE_STAT );
416
 
417
        input                   BCLK;
418
        input                   BRESET;
419
        input                   IO_SPACE;
420
        input                   MDONE;          // Memory Done : feedback from DRAM Controller, BCLK aligned !
421
        input                   IO_READY;
422
        input                   MMU_HIT,CA_HIT;
423
        input                   READ,WRITE,ZTEST,RMW;
424
        input   [31:0]   CAPDAT;
425
        input  [31:12]  VADR_R,IC_VA;
426
        input                   USE_CA;
427
        input                   PTB_WR,PTB_SEL;
428
        input                   SEL_PTB1;
429
        input  [27:12]  CPU_OUT;        // used for PTB0/1
430
        input                   USER;
431
        input                   PROT_ERROR;
432
        input                   WB_ACC;
433
        input                   ENWR;           // Enable WRITE from DRAM
434
        input                   ADR_EQU;
435
        input                   IC_PREQ;
436
        input                   FILLRAM;
437
        input    [3:0]   ICTODC;         // multiple signals from ICACHE, especially DMA
438
        input    [1:0]   RWVAL;          // RDVAL+WRVAL Operation
439
        input                   VIRTUELL;       // for RDVAL/WRVAL
440
 
441
        output  reg             DRAM_ACC,DRAM_WR;
442
        output                  IO_ACC,IO_RD,IO_WR;
443
        output                  PTE_MUX,PD_MUX,PKEEP;
444
        output  [27:0]   PTE_ADR;
445
        output  [19:0]   PTE_DAT;
446
        output                  HIT_ALL;
447
        output                  ACC_OK;
448
        output                  ABORT,PROTECT;
449
        output   [3:1]  IACC_STAT;
450
        output                  ABO_LEVEL1;
451
        output                  WR_MRAM;
452
        output                  CUPDATE;
453
        output                  AUX_DAT;
454
        output  reg             NEW_PTB;
455
        output  reg             PTB_ONE;
456
        output  [23:0]   MMU_DIN;
457
        output   [1:0]   IC_SIGS;
458
        output                  KOMUX;
459
        output                  KDET;           // Signal for detection of collision
460
        output                  DMA_MUX;
461
        output                  HLDA;           // active low
462
        output                  RWVFLAG;        // RDVAL/WRVAL result
463
        output   [1:0]   PTE_STAT;
464
 
465
        reg                             IO_WR,IO_RD;
466
        reg              [1:0]   pl_dat;
467
        reg              [6:0]   new_state;
468
        reg              [2:0]   cap_dat;        // only for analyse of timing
469
        reg                             mem_done;
470
        reg                             rd_done;
471
        reg              [2:0]   pstate;
472
        reg                             pte_run_wr;
473
        reg              [1:0]   prot_level1;
474
        reg                             card_flag;
475
        reg        [27:12]      ptb0,ptb1;
476
        reg                             write_ok;
477
        reg                             icp_acc;
478
        reg                             pte_modi;
479
        reg              [2:0]   ko_state;
480
        reg                             dma_run;
481
        reg                             dma_kdet;
482
        reg                             rwv_bit;
483
        reg                             prot_i;
484
        reg                             rd_rdy;
485
 
486
        wire   [27:12]  ptb10;
487
        wire   [31:12]  virtual_adr;
488
        wire                    io_busy;
489
        wire                    dram_go;
490
        wire                    pte_sel;
491
        wire                    pte_acc;
492
        wire                    do_ca_rd,pte_go,do_ic_p;
493
        wire                    valid,valid_a,refer,modi;
494
        wire                    level1,level2;
495
        wire                    rd_level2;
496
        wire                    wr_req;
497
        wire                    wr_dram;
498
        wire                    wr_icmram;
499
        wire                    rd_ende;
500
        wire                    pte_dat_8;
501
        wire                    pte_wr_sig;
502
        wire                    run_dc;
503
        wire                    kostart;
504
        wire                    dma;
505
        wire                    dma_go;
506
        wire                    zugriff;
507
        wire                    mmu_hit_i;
508
        wire                    do_zt;
509
        wire                    zt_ok;
510
        wire     [1:0]   acc_level;
511
        wire                    user_ptw,wr_ptw;
512
        wire                    pte_puls;
513
 
514
        always @(posedge BCLK) cap_dat <= CAPDAT[2:0];
515
 
516
        // if USER not virtual then ZTEST is quickly done
517
        assign zugriff = READ | WRITE | (ZTEST & VIRTUELL);
518
        assign mmu_hit_i = MMU_HIT & ~ZTEST;
519
 
520
        // WB_ACC is a successful WRITE access, ICTODC[0] is coherent Logik release : >=3 entries in FIFO
521 11 ns32kum
        assign wr_req = WB_ACC & ((ENWR & ICTODC[0]) | (DRAM_WR & ADR_EQU));     // release done by DRAM signal ENWR
522 9 ns32kum
 
523
        assign rd_ende = CA_HIT | rd_rdy;       // CA_HIT only when Cache activ !
524
 
525
        always @(        zugriff        // READ or WRITE or ZTEST , global control
526
                          or PROT_ERROR // must not be
527
                        //
528
                          or IO_SPACE   // access of IO world
529
                          or io_busy    // is access already running ?
530
                        //
531
                          or mmu_hit_i  // Hit in MMU , now only a READ can happen
532
                          or READ
533
                          or wr_req
534
                          or rd_ende    // Cache Hit
535
                        //
536
                          or DRAM_ACC   // DRAM Access : shows an active state
537
                          or pte_acc    // PTE access is running
538
                        //
539
                          or IC_PREQ    // PTE Request from ICACHE
540
                        //
541
                          or dma                // DMA Request
542
                          or dma_run )  // DMA running
543
                        //                                       #_#                      #_#                                               #_#                                     #_#
544 11 ns32kum
                casex ({zugriff,PROT_ERROR,IO_SPACE,io_busy,mmu_hit_i,READ,wr_req,rd_ende,DRAM_ACC,pte_acc,IC_PREQ,dma,dma_run})
545 9 ns32kum
                // MMU Miss : PTE load from memory , valid too if WRITE and M=0
546
                  13'b10_xx_0xxx_x0_x_x0 : new_state = 7'b0001010;      // start PTE access
547
                // IO-Address selected : external access starts if not busy because of WRITE
548
                  13'b10_10_1xxx_x0_x_x0 : new_state = 7'b0000001;
549
                // DRAM access : Cache Miss at READ : 
550
                  13'b10_0x_1100_00_x_x0 : new_state = 7'b0010010;
551
                // DRAM access : WRITE
552
                  13'b10_0x_101x_x0_x_x0 : new_state = 7'b0000100;
553
                // PTE Request ICACHE , IO access with WRITE is stored - parallel DRAM access possible
554
                  13'b0x_xx_xxxx_x0_1_00 : new_state = 7'b0101010;      // no access
555 11 ns32kum
                  13'b10_0x_1101_x0_1_x0 : new_state = 7'b0101010;      // if successful READ a PTE access can happen in parallel
556 9 ns32kum
                // DMA access. Attention : no IO-Write access in background and no ICACHE PTE access !
557
                  13'b0x_x0_xxxx_xx_0_10 : new_state = 7'b1000000;      // DMA access is started
558
                  default                                : new_state = 7'b0;
559
                endcase
560
 
561
        assign IO_ACC   = new_state[0];  // to load registers for data, addr und BE, signal one pulse
562
        assign dram_go  = new_state[1] | rd_level2 ;
563
        assign wr_dram  = new_state[2]; // pulse only
564
        assign pte_go   = new_state[3];
565
        assign do_ca_rd = new_state[4];
566
        assign do_ic_p  = new_state[5];
567
        assign dma_go   = new_state[6];
568
 
569
        // ZTEST logic is for the special case when a write access is crossing page boundaries
570
 
571
        assign do_zt = ZTEST & ~icp_acc;
572
 
573 11 ns32kum
        // 0 is pass , 1 is blocked. RWVAL[0] is 1 if WRVAL. Level 1 can only be blocked, otherwise ABORT or Level 2 is following.
574
        always @(posedge BCLK) if (mem_done) rwv_bit <= level2 ? ~(cap_dat[2] & (~RWVAL[0] | cap_dat[1])) : 1'b1;
575 9 ns32kum
 
576
        assign RWVFLAG = VIRTUELL & rwv_bit;
577
 
578 11 ns32kum
        assign zt_ok = mem_done & (RWVAL[1] ? (~cap_dat[2] | (RWVAL[0] & ~cap_dat[1]) | level2)  // Level 2 always ok
579 9 ns32kum
                                                                                : (cap_dat[0] & ~prot_i & level2) );     // "normal" access
580
 
581
        // PTE access logic, normal state machine
582
        // Updates to the PTEs are normal WRITE request to DRAM, therefore no MDONE at Write
583
 
584
        assign modi  = ~CAPDAT[8] & WRITE & write_ok & ~icp_acc;        // is "1" if the Modified Bit must be set
585
        assign refer = CAPDAT[7] | do_zt;       // Assumption "R" Bit is set if RDVAL/WRVAL and page border test
586
        assign valid = (do_zt & RWVAL[1]) ? (cap_dat[2] & (cap_dat[1] | ~RWVAL[0]) & cap_dat[0] & level1)
587
                                                                          : (cap_dat[0] & ~prot_i);
588
 
589
        always @(posedge BCLK) mem_done <= MDONE & pte_acc;
590
 
591
        always @(posedge BCLK or negedge BRESET)
592
                if (!BRESET) pstate <= 3'h0;
593
                  else
594
                        casex ({pte_go,mem_done,valid,refer,modi,pte_run_wr,pstate})
595
                          9'b0x_xxxx_000 : pstate <= 3'd0;      // nothing to do
596
                          9'b1x_xxxx_000 : pstate <= 3'd4;      // start
597
                          9'bx0_xxxx_100 : pstate <= 3'd4;      // wait for Level 1
598
                          9'bx1_0xxx_100 : pstate <= 3'd0;      // THAT'S ABORT ! 
599
                          9'bx1_11xx_100 : pstate <= 3'd6;      // PTE Level 1 was referenced , next is Level 2
600
                          9'bx1_10xx_100 : pstate <= 3'd5;      // for writing of modified Level 1 : R=1
601
                          9'bxx_xxx0_101 : pstate <= 3'd5;      // write must wait
602
                          9'bxx_xxx1_101 : pstate <= 3'd6;      // one wait cycle
603
                          9'bx0_xxxx_110 : pstate <= 3'd6;      // wait for Level 2
604
                          9'bx1_0xxx_110 : pstate <= 3'd0;      // THAT'S ABORT !
605
                          9'bx1_10xx_110 : pstate <= 3'd7;      // Update neccesary : R=0
606
                          9'bx1_110x_110 : pstate <= 3'd0;      // all ok - end
607
                          9'bx1_111x_110 : pstate <= 3'd7;      // Update neccesary : M=0
608
                          9'bxx_xxx0_111 : pstate <= 3'd7;      // write must wait
609
                          9'bxx_xxx1_111 : pstate <= 3'd0;      // continues to end of DRAM write
610
                          default            : pstate <= 3'd0;
611
                        endcase
612
 
613
        assign pte_acc =  pstate[2];
614
        assign level1  = ~pstate[1];
615
        assign level2  =  pstate[1];
616
 
617 11 ns32kum
        assign valid_a = (ZTEST & RWVAL[1]) ? (cap_dat[2] & (cap_dat[1] | ~RWVAL[0]) & ~cap_dat[0] & level1)
618 9 ns32kum
                                                                                : ~cap_dat[0];   // not do_zt because of icp_acc in ABORT
619
 
620
        assign ABORT   =   mem_done & valid_a & ~icp_acc;
621 11 ns32kum
        assign PROTECT = ((mem_done & prot_i  & ~icp_acc) | PROT_ERROR) & ~(ZTEST & RWVAL[1]);  // no Protection-Error at RDVAL/WRVAL
622 9 ns32kum
 
623
        assign IACC_STAT[1] = mem_done & ~cap_dat[0] & icp_acc;
624
        assign IACC_STAT[2] = level1;
625
        assign IACC_STAT[3] = mem_done & prot_i & icp_acc;
626
 
627
        assign ABO_LEVEL1 = level1;     // is stored in case of ABORT in ADDR_UNIT
628
 
629
        assign rd_level2 = (pstate == 3'd5) | (mem_done & (pstate == 3'd4) & refer & valid);
630
 
631
        assign WR_MRAM   = mem_done &  (pstate == 3'd6) & valid & ~icp_acc & ~ZTEST;
632
        assign wr_icmram = mem_done &  (pstate == 3'd6) & valid &  icp_acc;
633
 
634
        // Signals to the Instruction Cache
635
        // pte_acc combined with icp_acc for STATISTIK.
636
        assign IC_SIGS = {(pte_acc & icp_acc),wr_icmram};
637
 
638
        assign PTE_MUX = pte_go | (pte_acc & ~pstate[1]);
639
 
640
        assign pte_puls = mem_done & pte_acc & ~pstate[1];
641
        assign PTE_STAT = {(pte_puls & icp_acc),(pte_puls & ~icp_acc)}; // only for statistic
642
 
643 11 ns32kum
        assign PD_MUX =  ((pstate == 3'd4) & mem_done & valid & ~refer)         // switch data-MUX, write level 1 too
644 9 ns32kum
                                   | ((pstate == 3'd6) & mem_done & valid & (~refer | modi))    // write level 2
645
                                   | (((pstate == 3'd5) | (pstate == 3'd7)) & ~pte_run_wr);
646
 
647
        assign pte_wr_sig = ENWR & PD_MUX;
648
 
649
        always @(posedge BCLK) pte_run_wr <= pte_wr_sig;        // Ok-Signal for pstate State-machine
650
 
651
        assign PKEEP = (pstate == 3'd6) | ((pstate == 3'd7) & ~pte_run_wr);     // keep the DRAM address
652
 
653 11 ns32kum
        // If there is a PTE still in the data cache it must be deleted. If MMU Bits are set by the pte engine a following
654 9 ns32kum
        // READ would deliver wrong data if cache hit. Therefore access of the Tags.
655
        always @(posedge BCLK or negedge BRESET)
656
                if (!BRESET) ko_state <= 3'b000;
657
                  else
658
                        casex ({kostart,ko_state})
659
                          4'b0_000 : ko_state <= 3'b000;
660
                          4'b1_000 : ko_state <= 3'b110;
661
                          4'bx_110 : ko_state <= 3'b111;
662
                          4'bx_111 : ko_state <= 3'b100;
663
                          4'bx_100 : ko_state <= 3'b000;
664
                          default  : ko_state <= 3'b000;
665
                        endcase
666
 
667
        assign kostart = pte_go | rd_level2;
668
 
669
        assign run_dc = ~ko_state[2] & ~dma_run;
670
        assign KOMUX  =  ko_state[1] | DMA_MUX;
671
        assign KDET   =  ko_state[0] | dma_kdet;
672
 
673 11 ns32kum
        assign HIT_ALL = MMU_HIT & CA_HIT & run_dc & ~pte_acc;  // for Update "Last-Set" , MMU_HIT contains ZUGRIFF
674 9 ns32kum
 
675
        always @(posedge BCLK or negedge BRESET)
676
                if (!BRESET) card_flag <= 1'b0;
677
                        else card_flag <= (do_ca_rd & ~rd_rdy) | (card_flag & ~MDONE);
678
 
679
        assign CUPDATE = card_flag & USE_CA & MDONE;
680
 
681
        always @(posedge BCLK) rd_rdy <= card_flag & MDONE;
682
 
683 11 ns32kum
        // The cache RAM can not provide fast enough the data after an Update. In this case a secondary data path is activated
684 9 ns32kum
        assign AUX_DAT = rd_rdy;
685
 
686
        // DRAM interface :
687
 
688
        always @(posedge BCLK)                          DRAM_WR  <= wr_dram | pte_wr_sig; // pulse
689
        always @(posedge BCLK) if (dram_go) DRAM_ACC <= 1'b1;
690
                                                         else
691
                                                                DRAM_ACC <= DRAM_ACC & ~MDONE & BRESET;
692
        // IO interface :
693
 
694
        always @(posedge BCLK)
695
          begin
696
                if (IO_ACC) IO_RD <= READ;  else IO_RD <= IO_RD & ~IO_READY & BRESET;
697
                if (IO_ACC) IO_WR <= WRITE; else IO_WR <= IO_WR & ~IO_READY & BRESET;
698
          end
699
 
700 11 ns32kum
        assign io_busy = IO_RD | IO_WR | rd_done;       // access is gone in next clock cycle, therefore blocked with "rd_done"
701 9 ns32kum
 
702 11 ns32kum
        always @(posedge BCLK) rd_done <= IO_RD & IO_READY;     // For READ one clock later for data to come through
703 9 ns32kum
 
704
        assign dma = ICTODC[2]; // external request HOLD after FF in ICACHE
705
 
706 11 ns32kum
        always @(posedge BCLK) dma_run <= (dma_go | (dma_run & dma)) & BRESET;  // stops the data access until HOLD becomes inactive
707 9 ns32kum
 
708
        assign HLDA = ~(ICTODC[1] & dma_run);   // Signal for system that the CPU has stopped accesses
709
 
710
        always @(posedge BCLK) dma_kdet <= FILLRAM;
711
        assign DMA_MUX = FILLRAM | dma_kdet;
712
 
713
        // global feedback to ADDR_UNIT, early feedback to Op-Dec : you can continue
714
 
715
        assign ACC_OK = ZTEST ? (~VIRTUELL | zt_ok)
716 11 ns32kum
                                                  : (IO_SPACE ? ((IO_ACC & WRITE) | rd_done) : (wr_dram | (READ & MMU_HIT & rd_ende & run_dc)) );
717 9 ns32kum
 
718
        // PTB1 and PTB0
719
 
720
        always @(posedge BCLK) if (PTB_WR && !PTB_SEL) ptb0 <= CPU_OUT[27:12];
721
        always @(posedge BCLK) if (PTB_WR &&  PTB_SEL) ptb1 <= CPU_OUT[27:12];
722
 
723
        always @(posedge BCLK) NEW_PTB <= PTB_WR;                       // to MMU Update Block
724
        always @(posedge BCLK) if (PTB_WR) PTB_ONE <= PTB_SEL;
725
 
726
        assign ptb10 = SEL_PTB1 ? ptb1 : ptb0;
727
 
728
        // Address multiplex between ICACHE=1 and DCACHE=0 :
729
        always @(posedge BCLK) if (pte_go) icp_acc <= do_ic_p;
730
 
731
        assign pte_sel = pte_go ? do_ic_p : icp_acc;
732
 
733
        assign virtual_adr = pte_sel ? IC_VA : VADR_R;
734
 
735
        // The 2 Address-LSB's : no full access : USE_CA = 0    
736 11 ns32kum
        assign PTE_ADR = rd_level2 ? {CAPDAT[27:12],virtual_adr[21:12],2'b00} : {ptb10,virtual_adr[31:22],2'b00};
737 9 ns32kum
 
738
        // PTE_DAT[8] is used for update of MMU_RAM.
739
        assign pte_dat_8 = (level2 & WRITE & write_ok & ~icp_acc) | CAPDAT[8];
740
        always @(posedge BCLK) pte_modi = pte_dat_8;
741
        assign PTE_DAT = {4'h3,CAPDAT[15:9],pte_modi,1'b1,CAPDAT[6:0]};  // the top 4 bits are Byte-Enable
742
 
743
        // The data for the MMU-RAM : 24 Bits , [6]=Cache Inhibit
744
        assign MMU_DIN = {pl_dat,pte_dat_8,CAPDAT[6],CAPDAT[31:12]};
745
 
746
        // Protection field
747
 
748
        always @(posedge BCLK) if (mem_done && (pstate[2:0] == 3'd4)) prot_level1 <= cap_dat[2:1];
749
 
750
        always @(prot_level1 or cap_dat)
751
                casex ({prot_level1,cap_dat[2]})
752
                  3'b11_x : pl_dat = cap_dat[2:1];
753
                  3'b10_1 : pl_dat = 2'b10;
754
                  3'b10_0 : pl_dat = cap_dat[2:1];
755
                  3'b01_1 : pl_dat = 2'b01;
756
                  3'b01_0 : pl_dat = cap_dat[2:1];
757
                  3'b00_x : pl_dat = 2'b00;
758
                endcase
759
 
760 11 ns32kum
        always @(USER or pl_dat)        // is used if no PTE update is neccesary for M-Bit if writing is not allowed
761 9 ns32kum
                casex ({USER,pl_dat})
762
                  3'b1_11 : write_ok = 1'b1;
763
                  3'b0_1x : write_ok = 1'b1;
764
                  3'b0_01 : write_ok = 1'b1;
765
                  default : write_ok = 1'b0;
766
                endcase
767
 
768
        assign acc_level = level2 ? pl_dat : cap_dat[2:1];
769
        assign user_ptw = icp_acc ? ICTODC[3] : USER;
770
        assign wr_ptw = ~icp_acc & (WRITE | RMW | (ZTEST & ~RWVAL[1])); // only data cache can write
771
 
772
        always @(acc_level or user_ptw or wr_ptw)
773
                case (acc_level)
774
                        2'b00 : prot_i = user_ptw | wr_ptw;
775
                        2'b01 : prot_i = user_ptw;
776
                        2'b10 : prot_i = user_ptw & wr_ptw;
777
                        2'b11 : prot_i = 1'b0;
778
                endcase
779
 
780
endmodule
781
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.