OpenCores
URL https://opencores.org/ocsvn/m32632/m32632/trunk

Subversion Repositories m32632

[/] [m32632/] [trunk/] [rtl/] [DECODER.v] - Blame information for rev 23

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 ns32kum
// +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
2
//
3
// This file is part of the M32632 project
4
// http://opencores.org/project,m32632
5
//
6 23 ns32kum
//      Filename:       DECODER.v
7
//      Version:        2.0
8
//      History:        1.0 first release of 30 Mai 2015
9
//      Date:           14 August 2016
10 9 ns32kum
//
11 14 ns32kum
// Copyright (C) 2016 Udo Moeller
12 9 ns32kum
// 
13
// This source file may be used and distributed without 
14
// restriction provided that this copyright statement is not 
15
// removed from the file and that any derivative work contains 
16
// the original copyright notice and the associated disclaimer.
17
// 
18
// This source file is free software; you can redistribute it 
19
// and/or modify it under the terms of the GNU Lesser General 
20
// Public License as published by the Free Software Foundation;
21
// either version 2.1 of the License, or (at your option) any 
22
// later version. 
23
// 
24
// This source is distributed in the hope that it will be 
25
// useful, but WITHOUT ANY WARRANTY; without even the implied 
26
// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR 
27
// PURPOSE. See the GNU Lesser General Public License for more 
28
// details. 
29
// 
30
// You should have received a copy of the GNU Lesser General 
31
// Public License along with this source; if not, download it 
32
// from http://www.opencores.org/lgpl.shtml 
33
// 
34
// +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
35
//
36
//      Modules contained in this file:
37
//      DECODER         Instruction Decoding and Flow Control
38
//
39 11 ns32kum
// ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
40 9 ns32kum
 
41 11 ns32kum
module DECODER ( BCLK, BRESET, INT_N, NMI_N, ANZ_VAL, OPREG, CFG, PSR, ACC_DONE, DC_ABORT, IC_ABORT, ACB_ZERO, DONE,
42 9 ns32kum
                                 PC_SAVE, STRING, INIT_DONE, ILL, UNDEF, TRAPS, IC_READ, STOP_CINV,
43 11 ns32kum
                                 GENSTAT, DISP, IMME_Q, DISP_BR, USED, NEW, LOAD_PC, NEXT_PCA, RDAA, RDAB, OPER, START, LD_OUT, LD_DIN, LD_IMME,
44 23 ns32kum
                                 INFO_AU, ACC_FELD, WREN, WRADR, WMASKE, WR_REG, DETOIP, MMU_UPDATE, RESTART, STOP_IC, RWVAL, ENA_HK, ILO, COP_OP,
45
                                 PHOUT );
46 9 ns32kum
 
47
        input                   BCLK,BRESET;
48
        input                   INT_N,NMI_N;    // external inputs
49
        input    [2:0]   ANZ_VAL;
50
        input   [55:0]   OPREG;                  // the OPREG contains the bytes to decode, OPREG[55:32] are don't care
51
        input    [8:0]   CFG;                    // CONFIG : many bits are don't-care
52
        input   [11:0]   PSR;
53
        input                   ACC_DONE;
54
        input                   DC_ABORT,IC_ABORT;
55
        input                   ACB_ZERO;
56
        input                   DONE;
57
        input   [31:0]   PC_SAVE;
58
        input    [4:0]   STRING;
59
        input                   INIT_DONE;
60
        input                   ILL,UNDEF;
61
        input    [5:0]   TRAPS;
62
        input                   IC_READ;
63
        input                   STOP_CINV;              // not to mix it up with STOP_IC
64
 
65
        output   [2:0]   GENSTAT;
66 11 ns32kum
        output  [31:0]   DISP,IMME_Q,DISP_BR;    // three main data busses : Displacement, Immediate and Displacement for Branch
67 9 ns32kum
        output   [2:0]   USED;
68
        output                  NEW;
69
        output                  LOAD_PC;
70
        output                  NEXT_PCA;
71
        output   [7:0]   RDAA,RDAB;
72
        output  [10:0]   OPER;
73
        output   [1:0]   START,LD_OUT;
74
        output                  LD_DIN,LD_IMME;
75
        output   [6:0]   INFO_AU;
76
        output  [14:0]   ACC_FELD;
77
        output                  WREN;
78
        output   [5:0]   WRADR;
79
        output   [1:0]   WMASKE;
80
        output  reg             WR_REG;
81
        output  [12:0]   DETOIP;
82
        output   [1:0]   MMU_UPDATE;
83
        output                  RESTART;
84
        output                  STOP_IC;
85
        output   [2:0]   RWVAL;
86
        output                  ENA_HK;
87
        output  reg             ILO;
88
        output  [23:0]   COP_OP;
89 23 ns32kum
        output   [7:0]   PHOUT;          // for Debug purposes, phase_reg output
90 9 ns32kum
 
91
        reg             [31:0]   DISP,disp_val;
92
        reg             [10:0]   oper_i;
93
        reg              [2:0]   USED;
94
        reg             [14:0]   ACC_FELD;
95
        reg              [1:0]   ldoreg;
96
        reg                             wren_i;
97
        reg              [5:0]   wradr_i;
98
        reg              [1:0]   wmaske_i;
99 23 ns32kum
        reg              [1:0]   start_i;
100 9 ns32kum
        reg             [23:0]   COP_OP;
101
        reg                             spupd_i;
102
        reg              [3:0]   disp_sel;
103
        reg             [52:0]   op1_feld;
104
        reg             [47:0]   op2_feld;
105
        reg             [47:0]   op3_feld;
106
        reg             [47:0]   op_feld_reg;
107
        reg             [31:0]   imme_i;
108
        reg              [2:0]   valid;
109
        reg              [7:0]   phase_reg;
110
        reg              [3:0]   di_stat;        // Displacement Status
111
        reg              [3:0]   cc_feld;
112
        reg              [1:0]   ex_br_op;
113
        reg                             acb_reg;
114
        reg                             jsr_flag;
115
        reg              [8:0]   waitop,wait_reg;
116
        reg                             branch;
117
        reg              [3:0]   dim_feld;
118
        reg             [66:0]   new_op;
119
        reg                             short_op_reg;
120
        reg             [15:0]   idx_reg;
121
        reg             [35:0]   gen_src1;
122
        reg             [33:0]   gen_src2;
123
        reg                             qw_flag;
124
        reg                             long_reg;
125
        reg                             new_spsel;
126
        reg                             s_user,old_su;
127
        reg              [1:0]   stack_sel;      // Stack select for USER and SUPERVISOR
128
        reg              [1:0]   s_mod;          // Modifier for Stack select
129
        reg                             upd_info,dw_info;
130
        reg              [2:0]   rpointer;
131
        reg              [5:0]   resto;          // for RESTORE
132
        reg                             init_rlist;
133
        reg                             new_fp;
134
        reg                             format1;
135
        reg                             ldpc_phase;
136
        reg                             reti_flag;
137
        reg                             no_t2p;
138
        reg                             iabort,ia_save;
139
        reg                             mmu_sel;
140
        reg              [1:0]   nmi_reg;
141
        reg                             nmi_flag,int_flag;
142
        reg                             type_nmi;
143
        reg              [3:0]   exc_vector;
144
        reg                             phase_exc;
145
        reg              [3:0]   ovf_pipe;
146
        reg                             dbg_s,dbg_trap,dbg_en,addr_cmp;
147
        reg                             ssrc_flag,sdest_flag;
148
        reg                             op_setcfg,setcfg_lsb;
149
        reg                             inss_op;
150
        reg                             exin_cmd,extract;       // EXT/INS
151
        reg                             bit_reg;        // Flag for Bit opcodes : Source2 = Reg
152
        reg                             kurz_st;        // Flag for MOVM/CMPM
153
        reg                             kill_opt;       // Flag for optimized MOVS
154
        reg                             cmps_flag;      // Flag for CMPS
155
        reg                             skps_flag;      // Flag for SKPS
156
        reg                             mt_flag;        // Flag for Match and Translate
157
        reg                             spu_block;      // block of SP update at Long operation
158
        reg                             dia_op,dia_flag;        // Flag for DIA
159
        reg                             m_ussu,m_usel,dc_user;  // MOVUS/SU
160
        reg                             rwval_flag,wrval_flag;  // RDVAL/WRVAL
161
        reg                             cinv_flag;      // Flag for CINV
162
        reg              [5:0]   lmrreg;
163
        reg                             no_init,a_ivar;
164
        reg                             index_cmd;
165
        reg                             stop_d;
166
        reg                             dc_ilo;
167
 
168
        wire                    PHASE_0;
169
        wire     [7:0]   phase_ein;      // Phase after ABORT has changed the content to 0
170
        wire                    de_flag,ivec_flag;
171
        wire                    next;
172
        wire    [18:0]   new_addr,pop_fp,save_pc;
173
        wire    [13:0]   new_regs;
174
        wire     [7:0]   new_ph,ppfp;
175
        wire     [7:0]   new_nx;
176
        wire                    op_1byte,op_12byte,op_2byte,op_3byte;
177
        wire                    jump;
178
        wire                    short_op,short_def;
179 23 ns32kum
        wire                    opt_imme;
180
        wire     [7:0]   opti_byte;
181 9 ns32kum
        wire                    acb_op,acb_flag;
182
        wire                    zero,carry_psr,negativ,larger,flag;
183
        wire                    valid_size;
184
        wire                    op_ok;
185
        wire                    stop;
186
        wire    [47:0]   opc_bits;
187
        wire    [47:0]   op_feld;
188
        wire     [2:0]   atys,atyd;
189
        wire     [3:0]   auop_s,auop_d;
190
        wire                    long,src2_flag,dest_flag;
191
        wire     [6:0]   src_1,src_2,src_1l,src_2l;
192
        wire     [1:0]   src1_le,src2_le;
193
        wire                    acc1,acc2;
194
        wire                    spupd;
195
        wire     [6:0]   saver;  // for SAVE
196
        wire     [2:0]   reg_nr;
197
        wire                    save_reg;
198
        wire                    ld_disp,disp_ok;
199
        wire                    store_pc;
200
        wire                    do_xor;
201
        wire                    do_long;
202
        wire     [1:0]   idx_n,n_idx;
203
        wire                    idx;
204
        wire     [1:0]   otype;
205
        wire    [10:0]   opera,op_str,op_sho;
206
        wire     [5:0]   dest_r,dest_rl;
207
        wire                    phase_idx;
208
        wire    [15:0]   idx_bytes,idx_feld;
209
        wire     [3:0]   idx_1,idx_2;
210
        wire     [4:0]   src1_addr,src2_addr;
211
        wire     [6:0]   usp_1,usp_2;
212
        wire    [33:0]   tos_oper;
213 11 ns32kum
        wire    [18:0]   adrd1,exr11,exr12,adrd2,adwr2,exr22,exw22,re_wr,st_src,st_src2,st_dest,st_len,st_trde,st_trs2;
214 9 ns32kum
        wire     [7:0]   phrd1,phrd2,phwr2;
215
        wire     [6:0]   rega1,irrw1,rega2,irrw2;
216
        wire     [3:0]   nxrd1,nxrw2;
217
        wire                    rmw;
218
        wire     [6:0]   quei1,quet1;            // Registeradr
219
        wire     [7:0]   endea,goacb,dowait;     // Phase
220
        wire     [3:0]   diacb;                          // DIMM access
221
        wire                    qword;
222
        wire     [6:0]   stack,no_modul,ttstak;
223
        wire    [12:0]   pop_1;
224
        wire                    mpoi_1,mpoi_2;
225
        wire     [1:0]   src1_tos;               // the code for REUSE is 2'b11
226
        wire                    svc_flag,bpt_flag,flag_flag,trac_flag;
227
        wire     [3:0]   misc_vectors;
228
        wire     [2:0]   psr_code;
229
        wire                    exception;
230
        wire                    interrupt;
231
        wire                    abort;          // DC_ABORT | iabort;
232
        wire                    abo_int;
233
        wire                    iabo_fall;
234
        wire                    abbruch,fpu_trap,dvz_trap;
235
        wire                    abbruch2;
236
        wire                    dbg_flag;
237
        wire                    ovf_op,ovf2_op,ovf_flag;
238
        wire                    pc_match;
239
        wire                    no_trap;
240
        wire    [10:0]   op_psr,op_scp;
241
        wire    [30:0]   ai_next;
242
        wire                    set_src,set_dest,clr_sflag;
243
        wire     [7:0]   rrepa;  // Repair Phase of Abort for String opcodes
244
        wire     [7:0]   ph_str; // working phase String
245
        wire                    ph_match;
246
        wire                    t2p;
247
        wire                    rw_bit,op_ilo;
248
        wire                    setcfg;
249
        wire                    string_ende;
250
        wire                    wlor;   // Flag to generate WR_REG signal
251
        wire     [5:0]   wstr0,wstr1,wstr2;
252
        wire     [6:0]   rstr0,rstr1,rstr2;
253
        wire                    rett_exc;
254
        wire                    chk_rmw;
255
 
256
        // Variables for 2- and 3-Byte Dekoder :
257
        reg              [5:0]   hzr_c;  // CASE Statement
258
        wire     [1:0]   hzl_a;
259
        wire     [2:0]   hzl_b;
260
        wire     [5:0]   hzr_a,hzr_b,hzr_s;
261
        wire                    hdx_a;
262
        wire     [3:0]   hdo_a,hdo_c,hdo_e;
263
        wire     [7:0]   hdo_d;
264
        wire     [1:0]   hdl_b,hdl_d,hdl_f,hdl_g,hdl_h;
265
        wire     [2:0]   hdl_a,hdl_c,hdl_e;
266
        wire     [5:0]   hdr_a,hdr_b,hdr_c,hdr_d,hdr_e,hdr_f,hdr_g,hdr_m;
267
 
268
        wire    [66:0]   state_0,state_group_50,state_group_60;  // for the Gruppe 2 opcodes
269
 
270
        // Address field : Size:2 RD WR LDEA FULLACC INDEX:4 SPUPD disp_val:4 POST CLRMSW SRC2SEL:2
271
 
272
        parameter addr_nop      = 19'b10_0000_0000_0_0000_0000; // all parameter to 0
273
        parameter push_op       = 19'b10_0111_0000_1_1010_0000; // i.e. for BSR, ENTER ...
274
        parameter push_ea       = 19'b10_0111_0000_1_1010_0011; // SAVE middle
275
        parameter pop_op        = 19'b10_1011_0010_1_0000_1000; // RET/RESTORE
276
        parameter adddisp       = 19'b10_0010_0000_0_0000_0011; // for RET : reuse of EA
277
        parameter adddispn      = 19'b10_0010_0000_0_0000_0000; // for RETT : add Disp to Stack
278
        parameter save_sp       = 19'b10_0000_0000_1_0000_0000; // u.a. RET : update of Stack
279
        parameter next_po       = 19'b10_1011_0010_1_0000_1011; // RESTORE middle
280
        parameter dispmin       = 19'b10_0010_0000_0_0100_0011; // Reuse for ENTER
281 11 ns32kum
        parameter rmod_rxp      = 19'b10_1001_0000_1_0000_0100; // MODUL+0 read : SB , SP Update , therefore no LDEA
282 9 ns32kum
        parameter rmod_rtt      = 19'b10_1001_0000_0_0000_0100; // MODUL+0 read : SB , no LDEA
283
        parameter rmod_4        = 19'b10_1011_0000_0_0001_0100; // MODUL+4 read : Link Table Base
284
        parameter rmod_8        = 19'b10_1011_0000_0_0010_0100; // MODUL+8 read : Program Base
285
        parameter rdltab        = 19'b10_1010_0000_0_1000_0000; // Link table read - EA Phase
286
        parameter ea_push       = 19'b10_0110_0000_0_1010_0011; // CXP : 2. Push EA Phase
287
        parameter ea_min8       = 19'b10_1010_0000_0_1011_0011; // CXP : reuse of MOD+8
288
        parameter pop_ru        = 19'b10_1010_0010_0_0000_1011; // RXP : EA Phase MOD POP
289
        parameter rd_icu        = 19'b00_1001_0000_0_1100_0010; // Read ICU : Byte of fix address
290
        parameter get_vec       = 19'b10_1001_0000_0_01xx_0000; // Read Exception-Vector : Index Exception No.
291 11 ns32kum
        parameter get_veci      = 19'b10_1001_0110_0_0000_0000; // Read Exception-Vector : Index external Interrupt
292 9 ns32kum
        parameter load_ea       = 19'b10_0010_0000_0_0000_0000; // used for store of TEAR and MSR
293
        parameter save_msr      = 19'b10_0010_0001_0_0000_0000; // used for store of TEAR and MSR
294
        parameter ivar_adr      = 19'b10_0000_0100_0_0000_0010; // only pass SRC1
295
        parameter st_trans      = 19'b00_1001_0100_0_0000_0000; // Translate at String : SRC1 + SRC2 , Byte
296
        parameter src_x         = 7'hxx;
297
        parameter dest_x        = 6'hxx;
298
        parameter imme          = {1'b1,6'hxx};
299
        parameter frame         = 7'h18;
300
        parameter ibase         = 7'h1E;
301
        parameter modul         = 7'h1F;
302
        parameter w_msr         = 6'h0A;
303
        parameter w_tear        = 6'h0B;
304
        parameter fsr_r         = 6'h17;        // not defined register for FSR for opcodes LFSR and SFSR
305
        parameter temp_l        = 6'h3C;
306
        parameter temp_h        = 6'h3D;        // second last space for 8B TEMP register
307
        parameter temp_1        = 6'h3E;        // Backup for register at String operations
308
        parameter temp_2        = 6'h3F;
309
        parameter rtmpl         = 7'h3C;
310
        parameter rtmph         = 7'h3D;
311
        parameter rtmp1         = 7'h3E;
312
        parameter rtmp2         = 7'h3F;
313 14 ns32kum
        parameter op_mov        = 11'h345;
314
        parameter op_adr        = 11'h349;
315 9 ns32kum
        parameter op_add        = 11'h340;      // for CXP
316
        parameter op_flip       = 11'h364;      // for CXP : LSHD -16,Ri
317
        parameter op_lmr        = 11'h36A;      // for LPR CFG, LMR and CINV
318
        parameter op_wrp        = 11'h387;      // for CXP : write PSR , used also for Exception processing
319
        parameter op_ldp        = 11'h388;      // for RETT and RETI : load of PSR from Stack
320
        parameter op_zex        = 11'h076;      // Zero Extension for ICU Vector - is also used at String Option "T"
321
        parameter op_cop        = 8'hDD;        // Coprozessor Opcode
322
 
323 11 ns32kum
        // ++++++++++++++++++++++++++  The switch logic for the state machine  +++++++++++++++++++++++++++++
324 9 ns32kum
 
325
        always @(ANZ_VAL)
326
                case (ANZ_VAL)
327
                  3'd0  : valid = 3'b000;
328
                  3'd1  : valid = 3'b001;
329
                  3'd2  : valid = 3'b011;
330
                default : valid = 3'b111;
331
                endcase
332
 
333
        assign next = ( PHASE_0 ? op_ok :               // Opcode decoded or Exception processed
334
                                                // Displacement or Immediate operand and external memory access can happen in parallel
335
                                                // i.e. addressing mode Memory Relative
336
                                    (  ((~dim_feld[0] | ACC_DONE) & (~dim_feld[3] | di_stat[0]))  // ACC_DONE resets dim_feld
337
                                                // long operation
338
                                     & ~(long_reg & ~DONE) ) )
339
                                                // hard break : abort or fpu_trap or dvz_trap or ovf_flag
340
                                  | abbruch ;
341
 
342
        always @(posedge BCLK or negedge BRESET)
343
                if (!BRESET) long_reg <= 1'b0;
344
                  else
345
                        long_reg <= next ? do_long : long_reg;  // START[1]
346
 
347
        always @(posedge BCLK or negedge BRESET)        // the central phase register
348
                if (!BRESET) phase_reg <= 8'h0;
349
                  else
350
                        if (next) phase_reg <= new_op[47:40];
351
 
352 23 ns32kum
        assign PHOUT = phase_reg;       // only to debug
353
 
354 9 ns32kum
        always @(*)     // next switch of micro program counter
355
                casex ({PHASE_0,op_ok,dim_feld[3],di_stat[0]})
356 23 ns32kum
                  4'b11_xx : USED = {1'b0,~op_1byte,(op_1byte | op_3byte)} + {2'd0,opt_imme};
357 9 ns32kum
                  4'b0x_11 : USED = di_stat[3:1];
358
                  default  : USED = 3'd0;
359
                endcase
360
 
361
        // Special phases
362
 
363
        assign PHASE_0 = (phase_reg == 8'h00);  // During Phase 0 the opcode is decoded
364
 
365
        assign NEXT_PCA = PHASE_0 & ~ovf_flag & ~dbg_flag;
366
 
367
        // Pulse to transfer from Trace Bit to Pending Trace Bit, only once in the beginning of phase 0
368
        // The priority is such that a TRACE exception is served before an UNDEFINED/ILLEGAL exception
369
        always @(posedge BCLK) no_t2p <= PHASE_0 & ~op_ok;
370
        assign t2p = PHASE_0 & ~no_t2p; // signal to I_PFAD
371
 
372
        // ++++++++++++++++++++++++++  global control signals  ++++++++++++++++
373
 
374
        assign de_flag   = CFG[8];
375
        assign ivec_flag = CFG[0];
376
        assign dvz_trap  = TRAPS[1];
377
        assign fpu_trap  = TRAPS[0];
378
 
379 11 ns32kum
        always @(posedge BCLK) nmi_reg  <= {nmi_reg[0],NMI_N};   // one clock sync and than falling edge detection
380 9 ns32kum
 
381
        always @(posedge BCLK or negedge BRESET)
382
                if (!BRESET) nmi_flag <= 1'b0;
383
                  else nmi_flag <= (nmi_reg == 2'b10) | (nmi_flag & ~(phase_reg == 8'h82));
384
 
385
        always @(posedge BCLK) int_flag <= PSR[11] & ~INT_N;    // one clock to synchronise
386
 
387 11 ns32kum
        assign stop              = (int_flag | nmi_flag) &   PHASE_0 & ~stop_d;         // neccesary if FPU_TRAP and INT at the same time
388 9 ns32kum
        assign interrupt = (int_flag | nmi_flag) & (~PHASE_0 |  stop_d);
389
 
390
        always @(posedge BCLK or negedge BRESET)
391
                if (!BRESET) stop_d <= 1'd0;
392
                  else stop_d <= stop;
393
 
394
        // ++++++++++++++++++++++++++  Exception processing  +++++++++++++++
395
 
396
        // IC_ABORT is valid if Opcode Decoder cannot continue
397
        assign iabo_fall = IC_ABORT & (PHASE_0 ? ~op_ok : (~di_stat[0] & dim_feld[3]));
398
 
399
        always @(posedge BCLK) iabort  <= iabo_fall & ~ia_save; // DC_ABORT ist a pulse
400
        always @(posedge BCLK) ia_save <= iabo_fall;
401
 
402
        // mmu_sel is used in ADDR_UNIT
403
        always @(posedge BCLK) mmu_sel <= DC_ABORT | (mmu_sel & ~iabort);       // 1 = DCACHE , 0 = ICACHE
404
        assign MMU_UPDATE[0] = mmu_sel;
405
 
406
        assign abort = DC_ABORT | iabort;
407
 
408
        // that is the end of String loops where interrupts are checked : 8'hC7 & 8'hCF
409 11 ns32kum
        assign string_ende = (phase_reg[7:4] == 4'hC) & (phase_reg[2:0] == 3'b111);      // attention : 8'hCF does not exist
410 9 ns32kum
 
411 11 ns32kum
        always @(posedge BCLK) if (PHASE_0 || string_ende) type_nmi <= nmi_flag;        // during processing kept stable
412 9 ns32kum
 
413
        assign svc_flag  = (OPREG[7:0] == 8'hE2) & valid[0];      // Vector  5 : 0101 , Illegal Vector  4 : 0100
414
        assign bpt_flag  = (OPREG[7:0] == 8'hF2) & valid[0];      // Vector  8 : 1000 , Undefined Vec. 10 : 1010
415
        assign flag_flag = (phase_reg  == 8'h89) & flag;                // Vector  7 - has an own state
416
        assign trac_flag = t2p & PSR[10];                                               // Vector  9 : 1001 , PSR[10] = P Bit , Pending Trace
417
        assign ovf_flag  = (ovf_pipe[3] & flag) | (ovf_pipe[1] & TRAPS[2]);             // Vector 13 : 1101
418
        assign dbg_flag  = dbg_trap | (dbg_s & PHASE_0);                // Vector 14 : 1110
419
 
420
        // abort + dvz_trap during a opcode, fpu_trap + ovf_flag + dbg_flag later
421
        assign abbruch  = abort | fpu_trap | dvz_trap | ovf_flag | dbg_flag;    // this 5 stop everything
422
        assign abbruch2 = abort | fpu_trap | dvz_trap | ovf_flag;       // for exc_vector generation
423
 
424
        // forces the next step of state machine (op_ok), generates otype="11" for Trap Service
425
        assign exception = interrupt | svc_flag | bpt_flag | ILL | UNDEF | trac_flag | abbruch;
426
 
427
        // a TRACE Exception is done before the opcode execution
428 11 ns32kum
        assign misc_vectors = trac_flag ? 4'h9 : {(bpt_flag | UNDEF),(svc_flag | ILL),UNDEF,svc_flag};  // the vectors are exclusiv
429 9 ns32kum
 
430
        always @(posedge BCLK)
431
                if (PHASE_0 || abbruch) // ABORTs, fpu_trap, dvz_trap + ovf_flag can happen every time
432
                  begin
433 11 ns32kum
                        exc_vector <= abbruch ? (abbruch2  ? {ovf_flag,(dvz_trap | ovf_flag),~ovf_flag,(fpu_trap | ovf_flag)} : 4'hE)
434 9 ns32kum
                                                                  : (interrupt ? {3'b0,nmi_flag} : misc_vectors);       // misc_vectors is default
435
                  end
436
                  else
437
                    if (flag_flag) exc_vector <= 4'h7;  // FLAG-Trap
438
                      else
439
                                if (interrupt && string_ende) exc_vector <= {3'b0,nmi_flag};
440
 
441 11 ns32kum
        assign psr_code[2] = ~psr_code[1];                                              // Absicht : codiert das Sichern des PSR bei Exception-Entry 
442
        assign psr_code[1] = abort | ILL | UNDEF | trac_flag;   // enable for reseting the P-Bit during write of PSR to stack
443 9 ns32kum
        assign psr_code[0] = (interrupt & ~fpu_trap) | abort;    // enable for reseting the I-Bit of new PSR
444
 
445
        // valid codes are x'89 to x'8F
446 11 ns32kum
        assign op_psr = {8'b0_00_1000_1,psr_code};      // is used during first clock cylce after exception, is transfered as OPCODE to I_PFAD
447 9 ns32kum
 
448 11 ns32kum
        // Specialitiies : ABORT stores address & flags , the Interrrupts read vectors : all is used in big CASE
449 9 ns32kum
        assign abo_int = (exc_vector == 4'h2) | (exc_vector[3:1] == 3'b000);
450
        assign ai_next = (exc_vector == 4'h2) ? {load_ea,8'h84,4'h0} : {rd_icu,8'h82,4'h1};
451
 
452 11 ns32kum
        assign save_pc = {7'b10_0010_0,dia_flag,7'b00_0_0000,dia_flag,3'b001};  // Exception : PC_ARCHI => EA, special case DIA
453
        assign no_trap = ~fpu_trap & ~ovf_flag & ~dbg_flag;     // suppresion of WREN and LD_OUT[1] and ADDR_UNIT operation
454 9 ns32kum
 
455
        // ++++++++++++++++++++++++++  Overflow Trap  ++++++++++++++
456
 
457
        always @(posedge BCLK)
458
                if (ovf_flag || !PSR[4]) ovf_pipe <= 4'd0;
459
                  else
460 11 ns32kum
                        if (PHASE_0) ovf_pipe <= {ovf_pipe[2],(ovf_op & op_ok),ovf_pipe[0],(ovf2_op & op_ok)};   // V-Bit switches on
461 9 ns32kum
 
462
        assign ovf_op =  ( ((OPREG[6:2] == 5'b000_11)   // ADDQi
463
                                          | (OPREG[3:2] == 2'b00)) & (OPREG[1:0] != 2'b10))              // ADDi,ADDCi,SUBi,SUBCi
464
                                        | ((OPREG[7:0] == 8'h4E) & OPREG[13] & (OPREG[11:10] == 2'b00))  // NEGi,ABSi
465
                                        | ((OPREG[7:0] == 8'hEE) & ~OPREG[10]);  // CHECKi
466
 
467 11 ns32kum
        assign ovf2_op =  ((OPREG[6:2] == 5'b100_11) & (OPREG[1:0] != 2'b10))    // ACBi, these overflows have no FLAG
468 9 ns32kum
                                        | ((OPREG[13:10] == 4'h1) & (OPREG[7:0] == 8'h4E))       // ASHi
469
                                        | ( OPREG[13] & (OPREG[11] == OPREG[10]) & (OPREG[7:0] == 8'hCE)); // MULi,DEIi,QUOi,DIVi
470
 
471
        // ++++++++++++++++++++++++++  Debug Trap  ++++++++++++++
472
 
473
        always @(posedge BCLK or negedge BRESET)
474
                if (!BRESET) dbg_s <= 1'b0;
475
                  else dbg_s <= dbg_trap | (dbg_s & ~((exc_vector == 4'hE) & (phase_reg == 8'h81)));
476
 
477
        always @(posedge BCLK) dbg_en <= op_ok | ~PHASE_0;
478
 
479
        assign pc_match = dbg_en & TRAPS[3] & PHASE_0 & ~exception;     // TRAPS[3] is only combinatorical
480
 
481 11 ns32kum
        always @(posedge BCLK) dbg_trap <= (pc_match | (addr_cmp & PHASE_0)) & TRAPS[5];        // TRAPS[5] = Enable Trap
482 9 ns32kum
 
483
        always @(posedge BCLK) addr_cmp <= TRAPS[4] | (addr_cmp & ~PHASE_0);    // TRAPS[4] = CAR HIT
484
 
485
        // ++++++++++++++++++++++++++  Special case String Abort  ++++++++++++++
486
 
487 11 ns32kum
        // Flags cleared if entry and exit of string operation and during  Abort sequence, not valid for MOVM/CMPM
488 9 ns32kum
        // special case UNTIL/WHILE : reset if exit (op_feld_reg[17] = 1 = UNTIL)
489 11 ns32kum
        assign clr_sflag =         (phase_reg == 8'hC0) | (phase_reg == 8'hC7) | (phase_reg == 8'hC8) | (phase_reg == 8'h81)
490 9 ns32kum
                                           | (((phase_reg == 8'hD7) | (phase_reg == 8'hDF)) & ~(STRING[3] ^ op_feld_reg[17])) ;
491
        assign set_src   =     (phase_reg == 8'hC1) | (phase_reg == 8'hC9);
492
        assign set_dest  =     (phase_reg == 8'hC4) | (phase_reg == 8'hCC);
493
 
494
        always @(posedge BCLK or negedge BRESET)        // R1 is modified
495
                if (!BRESET) ssrc_flag <= 1'b0;
496
                  else ssrc_flag <= (set_src & ~kurz_st) | (ssrc_flag & ~clr_sflag);
497
 
498
        always @(posedge BCLK or negedge BRESET)        // R2 is modified
499
                if (!BRESET) sdest_flag <= 1'b0;
500
                  else sdest_flag <= (set_dest & ~kurz_st) | (sdest_flag & ~clr_sflag);
501
 
502
        assign rrepa = {7'b1000_011,~sdest_flag};       // R1 and if necessary R2 restore
503
 
504
        // ++++++++++++++++++++++++++  The one byte opcodes  +++++++++++++++++++
505
 
506 11 ns32kum
        // The one byte opcodes have a special case : one byte opcode but the second byte should be valid too
507 9 ns32kum
        // Used with SAVE, RESTORE, ENTER and EXIT with their reg list. 
508
        // The advantage is that the reg list is store in op_feld_reg.
509
 
510
        //      [52:34] addressing
511
        //      [33:20] register
512
        //      [19:18] 1 or 2 Byte opcode
513
        //      [17:16] BSR/BR
514
        //       [15:8] next phase
515
        //        [7:4] START + LD_OUT
516
        //        [3:0] operand access : Displacement or Speicher
517
 
518
        always @(*)             // SVC (E2) and BPT (F2) decode as exception
519
                casex (OPREG[7:0])
520
                        8'hxA : op1_feld = {addr_nop, src_x, src_x, 2'b01,2'b01,8'h01,4'h0,4'hE};       // Bcc , DISP read
521
                        8'h02 : op1_feld = {addr_nop, src_x, src_x, 2'b01,2'b10,8'h01,4'h0,4'hE};       // BSR , DISP read
522
                        8'h12 : op1_feld = {pop_op  , src_x, stack, 2'b01,2'b00,8'h2A,4'h0,4'h1};       // RET , DISP later
523
                        8'h22 : op1_feld = {rmod_4      , src_x, modul, 2'b01,2'b00,8'h35,4'h0,4'h1};   // CXP
524
                        8'h32 : op1_feld = {pop_op,   src_x, stack, 2'b01,2'b00,8'h40,4'h0,4'h1};       // RXP
525
                        8'h42 : op1_feld = {pop_op,       src_x, stack, 2'b01,2'b00,8'h46,4'h0,4'h1};   // RETT
526
                        8'h52 : op1_feld = {rd_icu,       src_x, src_x, 2'b01,2'b00,8'h45,4'h0,4'h1};   // RETI
527
                        8'h62 : op1_feld = {addr_nop, src_x, src_x, 2'b10,2'b00,8'h30,4'h0,4'h0};       // SAVE
528
                        8'h72 : op1_feld = {addr_nop, src_x, src_x, 2'b10,2'b00,8'h32,4'h0,4'h0};       // RESTORE
529
                        8'h82 : op1_feld = {push_op , frame, stack, 2'b10,2'b00,8'h2D,4'h2,4'h1};       // ENTER : PUSH FP
530
                        8'h92 : op1_feld = {addr_nop, src_x, src_x, 2'b10,2'b00,8'h32,4'h0,4'h0};       // EXIT : POP FP
531
                        8'hA2 : op1_feld = {addr_nop, src_x, src_x, 2'b01,2'b00,8'h00,4'h0,4'h0};       // NOP
532
                        8'hB2 : op1_feld = {addr_nop, src_x, src_x, 2'b01,2'b00,8'h88,4'h0,4'h0};       // WAIT
533
                        8'hC2 : op1_feld = {addr_nop, src_x, src_x, 2'b01,2'b00,8'h88,4'h0,4'h0};       // DIA
534
                        8'hD2 : op1_feld = {addr_nop, src_x, src_x, 2'b01,2'b00,8'h89,4'h0,4'h0};       // FLAG
535
                  default : op1_feld = {19'hxxxxx,14'hxxxx,     2'b00,2'b00,16'hxxxx};
536
                endcase
537
 
538 23 ns32kum
        assign op_1byte  = op1_feld[18] & valid[0];
539
        assign op_12byte = op1_feld[19] & valid[1];
540 9 ns32kum
 
541
        assign new_addr = op1_feld[52:34];
542
        assign new_regs = op1_feld[33:20];
543
        assign new_ph   = op1_feld[15:8];
544
        assign new_nx   = op1_feld[7:0]; // at Bcond DISP read
545
 
546
        assign pop_fp   = new_fp ? pop_op : addr_nop;
547
        assign ppfp             = new_fp ? 8'h34 : 8'h00;
548
 
549
        always @(posedge BCLK)
550
                if (PHASE_0)
551
                  begin
552
                        ex_br_op        <= op1_feld[17:16];     // BSR/BR
553
                        cc_feld         <= OPREG[7:4];
554
                        new_fp          <= (OPREG[7:6] == 2'b10);       // not decoded complete but is sufficient
555
                        reti_flag       <= OPREG[4];            // only difference between RETI and RETT is important
556
                        dia_op          <= OPREG[6];            // only difference between DIA and WAIT is important
557
                  end
558
 
559 11 ns32kum
        always @(posedge BCLK) dia_flag <= dia_op & (phase_reg == 8'h88);       // special case DIA compared to WAIT : Addr DIA to Stack
560 9 ns32kum
 
561 11 ns32kum
        always @(posedge BCLK)  // Format 1 opcodes write always DWord to reg, the same is true for Exceptions
562 9 ns32kum
                if (PHASE_0 || abbruch) format1 <= (valid[0] & (OPREG[3:0] == 4'h2)) | exception;
563
                  else
564
                        if (flag_flag || (interrupt && string_ende)) format1 <= 1'b1;
565
 
566
        //                                      Branch etc.                             CXP                                             CXPD
567 11 ns32kum
        assign store_pc = (phase_reg == 8'd1) | (phase_reg == 8'h37) | (phase_reg == 8'h6B);    // only save in DIN Reg of DATENPFAD
568 9 ns32kum
        assign jump = (ex_br_op[0] & branch) | (acb_reg & ~ACB_ZERO) | ex_br_op[1];
569
 
570 11 ns32kum
        always @(posedge BCLK) ldpc_phase <=  (phase_reg == 8'h3E)      // PC load at CXP/Traps , all one clock cycle guaranted
571 9 ns32kum
                                                                                | (phase_reg == 8'h43)  // PC load at RXP
572
                                                                                | ((phase_reg == 8'h49) & reti_flag)    // PC load at RETI
573
                                                                                | (phase_reg == 8'h4E)  // PC load at RETT
574
                                                                                | (phase_reg == 8'h66)  // PC load at JUMP/JSR/CASE
575
                                                                                | (phase_reg == 8'h7B); // PC load at DE = Direct Exception
576
 
577
        assign NEW = ((phase_reg == 8'd1) &  jump & di_stat[0]) | LOAD_PC;
578 11 ns32kum
        assign LOAD_PC = ((phase_reg == 8'h2B) & di_stat[0])     // only one pulse, but DISP must be ok => di_stat[0] (RET)
579 9 ns32kum
                                        | ldpc_phase;
580
 
581
        assign no_modul = de_flag ? {1'b0,dest_x} : {1'b1,modul[5:0]};
582
 
583
        assign negativ   = PSR[7];
584
        assign zero      = PSR[6];
585
        assign flag              = PSR[5];
586
        assign larger    = PSR[2];
587
        assign carry_psr = PSR[0];
588
 
589 11 ns32kum
        assign rett_exc = ~reti_flag & (phase_reg == 8'h4B);    // special case RETT : Stack can change during opcode
590 9 ns32kum
        always @(posedge BCLK) phase_exc <= (phase_reg == 8'h80);       // 1. Exception phase
591 11 ns32kum
        always @(negedge BCLK) if (PHASE_0 || phase_exc || rett_exc) s_user <= PSR[9];  // Select Bit for Stack, delayed update
592 9 ns32kum
        always @(negedge BCLK)
593
                if (PHASE_0 || phase_exc) s_mod <= {PSR[9],~PSR[9]};
594
                  else
595
                        if (rett_exc) s_mod <= s_mod | {PSR[9],~PSR[9]};        // Both can be updated
596
 
597
        always @(cc_feld or zero or carry_psr or larger or negativ or flag)
598
                case (cc_feld)
599
                  4'h0 : branch =  zero;                // EQual
600
                  4'h1 : branch = ~zero;                // Not Equal
601
                  4'h2 : branch =  carry_psr;   // Carry Set
602
                  4'h3 : branch = ~carry_psr;   // Carry Clear
603
                  4'h4 : branch =  larger;              // Higher
604
                  4'h5 : branch = ~larger;              // Lower or Same
605
                  4'h6 : branch =  negativ;             // Greater Than
606
                  4'h7 : branch = ~negativ;             // Less or Equal
607
                  4'h8 : branch =  flag;                // Flag Set
608
                  4'h9 : branch = ~flag;                // Flag Clear
609
                  4'hA : branch = ~larger  & ~zero;     // LOwer
610
                  4'hB : branch =  larger  |  zero;     // Higher or Same
611
                  4'hC : branch = ~negativ & ~zero;     // Less Than
612
                  4'hD : branch =  negativ |  zero;     // Greater or Equal
613
                  4'hE : branch = 1'b1;                 // True
614
                  4'hF : branch = 1'b0;                 // False
615
                endcase
616
 
617
        // +++++++++++++++++++++++  Register List Processing  ++++++++++++++++++++++++++++
618
 
619
        always @(posedge BCLK) init_rlist <= PHASE_0 | (phase_reg == 8'h2E);
620
 
621
        always @(posedge BCLK)
622
                if (PHASE_0) rpointer <= 3'b000;
623
                  else
624
                        if (ACC_DONE || init_rlist) rpointer <= reg_nr;
625
 
626 11 ns32kum
        REG_LIST scanner ( .DIN(op_feld_reg[22:15]), .INIT(init_rlist), .IPOS(rpointer), .VALID(save_reg), .OPOS(reg_nr) );
627 9 ns32kum
 
628
        assign saver = {4'h0,reg_nr};
629
 
630 11 ns32kum
        always @(posedge BCLK) if (ACC_DONE || init_rlist) resto <= {3'h0,~reg_nr};     // EXIT and RESTORE have the list mirrored : R0...R7
631 9 ns32kum
 
632 11 ns32kum
        // ++++++++++++++++++++++++++  Processing of Displacement and Immediate Operand  +++++++++++++++++++
633 9 ns32kum
 
634
        always @(posedge BCLK or negedge BRESET)        // Flag for DISP and IMME access
635
                if (!BRESET) dim_feld[3] <= 1'b0;
636
                  else dim_feld[3] <= next ? new_op[3] : ~di_stat[0] & dim_feld[3];
637
 
638
        always @(posedge BCLK) if (next) dim_feld[2:1] <= new_op[2:1];
639
 
640
        always @(posedge BCLK or negedge BRESET)        // Flag for external access
641
                if (!BRESET) dim_feld[0] <= 1'b0;
642
                  else dim_feld[0] <= next ? new_op[0] : ~ACC_DONE & dim_feld[0];
643
 
644
        // special case QWORD, last term for security
645
        always @(posedge BCLK) qw_flag <= dim_feld[0] & ACC_DONE & (ACC_FELD[13:12] == 2'b11) & ~qw_flag;
646
 
647 11 ns32kum
        assign LD_IMME = (dim_feld[3] & (dim_feld[2:1] != 2'b11)) | short_op | store_pc;        // Data multiplexer
648
        assign LD_DIN  =  (di_stat[0] & dim_feld[3] & (dim_feld[2:1] != 2'b11))                          // Enable for DIN Register
649
                                        | (ACC_DONE   & dim_feld[0]) | qw_flag | short_op | store_pc;            // next not possible : i.e. immediate and disp parallel
650 9 ns32kum
        assign ld_disp = (dim_feld[3:1] == 3'b111);                                                                                     // Enable for DISP Register
651
 
652
        // Signal to ADDR_UNIT , only Displacement critical
653
        assign disp_ok = ld_disp ? di_stat[0] : 1'b1;
654
 
655 11 ns32kum
        always @(dim_feld or OPREG or valid or ANZ_VAL) // Bit 0 is "Data ok", the upper 3 bits are for USED
656 9 ns32kum
                casex ({dim_feld[2:1],OPREG[7:6]})
657
                  4'b00_xx : di_stat = {3'b001,valid[0]};
658 23 ns32kum
                  4'b01_xx : di_stat = {3'b010,valid[1]};
659 9 ns32kum
                  4'b10_xx : di_stat = {3'b100,ANZ_VAL[2]};
660
                  4'b11_0x : di_stat = {3'b001,valid[0]};
661 23 ns32kum
                  4'b11_10 : di_stat = {3'b010,valid[1]};
662 9 ns32kum
                  4'b11_11 : di_stat = {3'b100,ANZ_VAL[2]};
663
                endcase
664
 
665
        always @(OPREG)
666
                casex (OPREG[7:6])
667
                  2'b0x : disp_val = {{26{OPREG[6]}},OPREG[5:0]};
668
                  2'b10 : disp_val = {{19{OPREG[5]}},OPREG[4:0],OPREG[15:8]};
669
                  2'b11 : disp_val = {{3{OPREG[5]}},OPREG[4:0],OPREG[15:8],OPREG[23:16],OPREG[31:24]};
670
                endcase
671
 
672
        assign DISP_BR = disp_val;      // DISP is also used for Bcc opcode
673
 
674
        // The generator for DISP : data is used in ADDR_UNIT
675
        always @(*)
676
                casex ({ld_disp,disp_sel})      //      disp_sel from new_op
677
                  5'b1_00xx : DISP = disp_val;
678
                  5'b1_01xx : DISP = 32'h0 - disp_val;  // special case for ENTER
679
                  5'b1_1xxx : DISP = {disp_val[29:0],2'b00};     // DISP*4 for External Address Mode
680
                  5'b0_11xx : DISP = {20'hFFFFF,3'h7,type_nmi,8'h00};    // Interrupt Service Address
681
                  5'b0_1000 : DISP = 32'hFFFF_FFFF;             // PUSH Byte
682
                  5'b0_1001 : DISP = 32'hFFFF_FFFE;             // PUSH Word
683
                  5'b0_1010 : DISP = 32'hFFFF_FFFC;             // PUSH DWord
684
                  5'b0_1011 : DISP = 32'hFFFF_FFF8;             // PUSH QWord
685
                  5'b0_01xx : DISP = {26'h0,exc_vector,2'b00};          // the exception vector as Offset for INTBASE
686
                  5'b0_00xx : DISP = {28'h0,disp_sel[1:0],2'b00};        // 0,+4,+8,+12 used with MOD, default is 0
687
                endcase
688
 
689 23 ns32kum
        always @(*)
690 9 ns32kum
                casex ({short_op,dim_feld[2:1]})
691
                  3'b000 : imme_i = op_setcfg ? {28'h0000_00F,OPREG[2:0],setcfg_lsb} : {24'hxx_xxxx,OPREG[7:0]};
692
                  3'b001 : imme_i =    {16'hxxxx,OPREG[7:0],OPREG[15:8]};
693
                  3'b01x : imme_i = {OPREG[7:0],OPREG[15:8],OPREG[23:16],OPREG[31:24]};
694 23 ns32kum
                  3'b1xx : imme_i = opt_imme ? {24'hxxxx_xx,opti_byte} : {{29{OPREG[10]}},OPREG[9:7]};  // for MOVQ etc. only OPREG can be used
695 9 ns32kum
                endcase
696
 
697
        assign IMME_Q = store_pc ? PC_SAVE : imme_i;
698
 
699
        // ++++++++++++++  Stack Control  +++++++++++++++++
700
 
701
        always @(posedge BCLK or negedge BRESET)
702
                if (!BRESET) new_spsel <= 1'b0;
703
                  else new_spsel <= spupd | (new_spsel & ~PHASE_0 & ~fpu_trap & ~dvz_trap);
704
 
705 11 ns32kum
        always @(posedge BCLK) upd_info <= PHASE_0 & new_spsel; // one clock cycle earlier a change occurs, i.e. ADDF TOS,F0 => fpu_trap
706 9 ns32kum
 
707
        assign do_xor = fpu_trap ? upd_info : (PHASE_0 & new_spsel);
708
 
709
        always @(negedge BCLK or negedge BRESET)
710
                if (!BRESET) stack_sel <= 2'b00;
711
                  else
712
                        if (do_xor) stack_sel <= stack_sel ^ s_mod;
713
 
714
        // Special case RETT
715 11 ns32kum
        always @(posedge BCLK) if (!phase_reg[1]) old_su <= s_user;     // is tested in state x'49 and used in x'4B
716 9 ns32kum
        assign ttstak = {1'b0,((old_su == PSR[9]) ^ stack_sel[PSR[9]]),3'b110,PSR[9],1'b1};
717
 
718
        // ++++++++++++++  2 byte opcodes  +++++++++++++++++
719
 
720
        // Hint : short_op is decoded separatly
721
 
722
        // [47:45]      Source : [2] TOS=>(SP), [1] Ri => (Ri), [0] 1=access of memory
723
        // [44:42]      Destination : like [47:45]
724
        //        [41]  long opcode     [41:39] only for standard sequenz - not Gruppe 2
725
        //    [40]      src2_flag - Source 2 is read
726
        //        [39]  dest_flag - a target operand exists
727
        // [38:33]      src1_r Register field, no message about Immediate
728
        // [32:27]      src2_r Register field
729
        // [26:25]      src1_le Length of Source1 - this is used for qword
730
        // [24:23]      src2_le Length of Source2 : 00=1/01=2/10=4/11=8 Bytes => WMASKE 
731
        // [22:18]      src1 field
732
        // [17:13]      src2 field
733
        // [12:11]      op_type 2 Bit for sort of opcode
734
        //    [10]      FL : F=1/L=0
735
        //   [9:8]      original BWD : B=00/W=01/D=11
736
        //   [7:0]      opcode: operation code
737
 
738 23 ns32kum
        assign valid_size = (OPREG[1:0] != 2'b10) & valid[1];    // valid size + valid OPREG-Bytes
739 9 ns32kum
 
740
        assign hzl_a = (OPREG[1:0] == 2'b11) ? 2'b10 : OPREG[1:0];        // length field recoded
741
        assign hzl_b = {1'b0,OPREG[1:0]};                // standard Length field
742
        assign hzr_a = {3'b000,OPREG[13:11]};   // SRC2 or SRC1 regfield
743
        assign hzr_b = {3'b000,OPREG[8:6]};             // SRC2 regfield
744 11 ns32kum
        assign hzr_s = {((OPREG[15:11] == 5'h17) ^ stack_sel[s_user]),3'b110,s_user,1'b1};      // USER or SUPERVISOR Stack, TOS special case
745 9 ns32kum
        // Special case LPR & SPR regfield:
746
        always @(OPREG or stack_sel or s_user)
747
                casex ({OPREG[10:7]})
748
                  4'b1001 : hzr_c = {stack_sel[s_user],3'b110,s_user,1'b1};     // USER or SUPERVISOR Stack
749
                  4'b1011 : hzr_c = {stack_sel[1]         ,3'b110,1'b1,  1'b1}; // USER Stack
750
                  4'b1100 : hzr_c = OPREG[6] ? temp_h : 6'h1C;  // CFG special case : LPR : SPR
751
                  default : hzr_c = {2'b01,OPREG[10:7]};
752
                endcase
753
 
754 11 ns32kum
        // Unfortunately SETCFG must be implemented : it is transformed to a two byte opcode with one byte IMM operand
755 23 ns32kum
        assign setcfg = (OPREG[13:0] == 14'h0B0E) & valid[1];
756 9 ns32kum
 
757
        always @(*)
758
          casex ({setcfg,OPREG[10:2]})
759
                // Short-Op Codes , ACB is an ADD with following jump
760 11 ns32kum
                10'b0xxxx_x0011 : op2_feld = {6'o11,3'o3,6'hxx,hzr_a,hzl_a,hzl_a,5'h14,OPREG[15:11],2'b00,hzl_b,8'h40};  // ADDQ ACB
761
                10'b0xxxx_00111 : op2_feld = {6'o11,3'o2,6'hxx,hzr_a,hzl_a,hzl_a,5'h14,OPREG[15:11],2'b00,hzl_b,8'h41};  // CMPQ
762
                10'b0xxxx_01011 : op2_feld = {6'o11,3'o1,hzr_c,hzr_a,hzl_a,hzl_a,5'h00,OPREG[15:11],2'b00,hzl_b,8'h45};  // SPR
763 9 ns32kum
                // Scond is moving the SHORT operand in the Integer area as condition field
764 11 ns32kum
                10'b0xxxx_01111 : op2_feld = {6'o11,3'o1,6'hxx,hzr_a,hzl_a,hzl_a,5'h14,OPREG[15:11],2'b00,hzl_b,8'h7A};  // Format 7, A=(UNDEF)
765
                10'b0xxxx_10111 : op2_feld = {6'o11,3'o1,6'hxx,hzr_a,hzl_a,hzl_a,5'h14,OPREG[15:11],2'b00,hzl_b,8'h45};  // MOVQ
766
                10'b0xxxx_11011 : op2_feld = {6'o11,3'o1,hzr_a,hzr_c,hzl_a,2'b10,OPREG[15:11],5'h00,2'b00,hzl_b,8'h76};  // LPR => MOVZiD
767 9 ns32kum
                // Format 3 opcodes :
768 11 ns32kum
                10'b00x10_11111 : op2_feld = {6'o11,3'o1,hzr_a,6'h1D,hzl_a,hzl_a,OPREG[15:11],5'h00,2'b00,hzl_b,4'h3,OPREG[10:7]}; // BIC/SPSR
769
                10'b0x100_11111 : op2_feld = {6'o61,3'o1,hzr_a,hzr_b,hzl_a,hzl_a,OPREG[15:11],5'h00,2'b10,hzl_b,4'h3,OPREG[10:7]}; // JUMP/JSR
770
                10'b01110_11111 : op2_feld = {6'o11,3'o1,hzr_a,hzr_b,hzl_a,hzl_a,OPREG[15:11],5'h00,2'b10,hzl_b,4'h3,OPREG[10:7]}; // CASE
771 9 ns32kum
                // Format 4 opcodes : main group
772 11 ns32kum
                10'b0xxxx_xxxx0 : op2_feld = {6'o11,3'o3,hzr_a,hzr_b,hzl_a,hzl_a,OPREG[15:6],            2'b00,hzl_b,4'h4,OPREG[5:2]};
773
                10'b0xxxx_x0001 : op2_feld = {6'o11,3'o2,hzr_a,hzr_b,hzl_a,hzl_a,OPREG[15:6],            2'b00,hzl_b,4'h4,OPREG[5:2]}; //CMP no WR
774
                10'b0xxxx_x0101 : op2_feld = {6'o11,3'o1,hzr_a,hzr_b,hzl_a,hzl_a,OPREG[15:6],            2'b00,hzl_b,4'h4,OPREG[5:2]}; //MOV no 2.Op
775 9 ns32kum
                10'b0xxxx_x1101 : op2_feld = (OPREG[10:9] == 2'b00) ?    // target is Register => standard flow
776
                                                                         {6'o11,3'o2,hzr_a,hzr_b,hzl_a,2'bxx,OPREG[15:6],               2'b00,hzl_b,4'h4,OPREG[5:2]}    // TBIT
777
                                                                   : {6'o14,3'o2,hzr_a,hzr_b,hzl_a,2'b00,OPREG[15:6],           2'b10,hzl_b,4'h4,OPREG[5:2]};
778
                // ADJSPi
779 11 ns32kum
                10'b01010_11111 : op2_feld = {6'o11,3'o3,hzr_a,hzr_s,hzl_a,2'b10,OPREG[15:11],5'h00,2'b00,hzl_b,8'h48};  // is a SUBD
780 9 ns32kum
                // ADDR, length field not valid
781
                10'b0xxxx_x1001 : op2_feld = {6'o61,3'o1,hzr_a,hzr_b,hzl_a,hzl_a,OPREG[15:6],            2'b00,hzl_b,8'h49};
782 11 ns32kum
                10'b00000_11111 : op2_feld = {6'o71,3'o1,hzr_a,hzr_b,hzl_a,hzl_a,OPREG[15:11],5'h00,2'b10,hzl_b,4'h3,OPREG[10:7]}; // CXPD no Opcode
783 9 ns32kum
                // SETCFG => MOV Befehl , SRC1 is genrated for 32 bit , target is Register temp_h
784
                10'b1xxxx_xxxxx  : op2_feld = {40'b001001_001_000000_111101_00_10_10100_00000_00_011,                     8'h76};
785
                default                 : op2_feld = {40'hxx_xxxx_xxxx,4'hA,4'hx};
786
          endcase
787
 
788 11 ns32kum
        assign op_2byte = (valid_size | setcfg) & ~op2_feld[7]; // it must be for sure shown "Invalid Opcode"
789 9 ns32kum
 
790
        // Special case : the quick opcodes with the exception SPR and LPR
791 23 ns32kum
        assign short_op = ((((~OPREG[5]) | (OPREG[6:4] == 3'b011)) & (OPREG[3:2] == 2'b11) & valid_size) | opt_imme) & PHASE_0;
792 9 ns32kum
        always @(posedge BCLK) if (PHASE_0) short_op_reg <= short_op;
793
        assign short_def =  PHASE_0 ? short_op : short_op_reg;                                                  // for the big state machine
794 11 ns32kum
        assign op_sho = (OPREG[6:4] == 3'b011) ? 11'h07A : op_mov;      // Special case Scond at Index as Dest. , used only in Phase 0
795 9 ns32kum
 
796
        // 2. special case ACB
797
        assign acb_op = (OPREG[6:2] == 5'h13) & valid_size;
798
        always @(posedge BCLK) if (PHASE_0) acb_reg <= acb_op;
799
        assign acb_flag = PHASE_0 ? acb_op : acb_reg;
800 11 ns32kum
        assign goacb = acb_flag ? 8'h28 : 8'h00;        // x'28 = 40 , wait jump at REG operation - short-op special case
801 9 ns32kum
 
802 11 ns32kum
        // 3. special case load of PSR and Init-Done opcodes : because of U bit in PSR a restart must follow,
803 9 ns32kum
        // CINV and LMR PTB must wait until Init-Done and than Restart.
804
        // All variants of LPR and BIC/S have an extra cycle due to TRACE operation
805
        always @(OPREG)
806
                casex (OPREG[18:0])
807
                  19'bxxx_xxxxx_1101_110_11_xx : waitop = 9'h14C;       // LPRi PSR,...
808
                  19'bxxx_xxxxx_1100_110_11_xx : waitop = 9'h174;       // LPRi CFG,...
809
                  19'bxxx_xxxxx_0x10_111_11_xx : waitop = 9'h14C;       // BICPSRi/BISPSRi ...
810
                  19'bxxxx_x_0010_xx_0000_1110 : waitop = 9'h174;       // SETCFG []
811
                  19'bxxxx_0_0010_xx_0001_1110 : waitop = 9'h174;       // LMR  - at the end Restart
812
                  19'bxxxx_0_1001_xx_0001_1110 : waitop = 9'h174;       // CINV - at the end Restart
813
                  default                                          : waitop = 9'h000;
814
                endcase
815
 
816
        assign dowait = waitop[7:0];     // is used in Phase 0 if PSR is loaded from Register
817
        always @(posedge BCLK) if (PHASE_0) wait_reg <= waitop;
818
 
819
        // Here 2. and 3. special case are coming together:
820
        // Phase definition, end over jump for ACB , not used in Phase 0
821
        assign endea = acb_reg ? 8'h01 : (wait_reg[8] ? wait_reg[7:0] : 8'h00);
822
        assign diacb = acb_reg ? 4'hE : 4'h0;   // load Disp ?
823
 
824
        // special case ADJSPi : SP=SRC2 always 32 Bit
825
        always @(posedge BCLK)
826
                if (PHASE_0) dw_info <= (OPREG[10:2] == 9'b1010_11111);
827
                  else dw_info <= dw_info & ~phase_reg[7];      // for security at ABORT
828
 
829
        // SETCFG : Flag to transform the Byte Immeadiate operand
830
        always @(posedge BCLK) if (PHASE_0) op_setcfg  <= setcfg;
831
        always @(posedge BCLK) if (PHASE_0) setcfg_lsb <= OPREG[15];
832
 
833
        always @(posedge BCLK) if (PHASE_0) jsr_flag <= (OPREG[10:2] == 9'b1100_11111);         // JSR : for PUSH
834
        always @(posedge BCLK)  // Bit opcodes to Register and EXT:SRC1 / INS:SRC2
835 11 ns32kum
                if (PHASE_0) bit_reg  <= ((OPREG[3] ? ((OPREG[7:6] == 2'd0) ? OPREG[23:22] : OPREG[18:17]) : OPREG[10:9]) == 2'b00);
836 23 ns32kum
        always @(posedge BCLK) if (PHASE_0) exin_cmd <= (~OPREG[10] & (OPREG[6:0] == 7'h2E)) & valid[2];
837 9 ns32kum
        always @(posedge BCLK) if (PHASE_0) extract <= ~OPREG[7];
838 23 ns32kum
        always @(posedge BCLK) if (PHASE_0) inss_op <= (OPREG[13:10] == 4'h2) & (OPREG[7:0] == 8'hCE) & valid[2];        // INSS
839 9 ns32kum
 
840
        // ++++++++++++++  3 byte opcodes  +++++++++++++++++
841
 
842
        // [47:45]      Source : [2] TOS=>(SP), [1] Ri => (Ri), [0] 1=access of memory
843
        // [44:42]      Destination : like [47:45]
844
        //        [41]  long opcode     [41:39] only for standard sequenz - not Gruppe 2
845
        //    [40]      src2_flag - Source 2 is read
846
        //        [39]  dest_flag - a target operand exists
847
        // [38:33]      src1_r Register field, no message about Immediate
848
        // [32:27]      src2_r Register field
849
        // [26:25]      src1_le Length of Source1 - this is used for qword
850
        // [24:23]      src2_le Length of Source2 : 00=1/01=2/10=4/11=8 Bytes => WMASKE 
851
        // [22:18]      src1 field
852
        // [17:13]      src2 field
853
        // [12:11]      op_type 2 Bit for sort of opcode
854
        //    [10]      FL : F=1/L=0
855
        //   [9:8]      original BWD : B=00/W=01/D=11
856
        //   [7:0]      opcode: operation code
857
 
858
        assign hdx_a = OPREG[7] ? OPREG[8] : OPREG[10];
859
        assign hdo_a = OPREG[13:10];
860
        assign hdo_c = {1'b0,OPREG[10],OPREG[7:6]};     // Format 8 opcodes
861
        assign hdo_d = {6'b0101_00,OPREG[10],1'b0};     // CMPM/S or MOVM/S : 8'h52 or 8'h50
862
        assign hdo_e = {3'b011,OPREG[10]};      // Special codes for LOGB and SCALB due to DP_OUT datapath
863
        // Definitions of length
864
        assign hdl_a = {1'b0,OPREG[9:8]};       // i size, is used in OPER
865 11 ns32kum
        assign hdl_b = (OPREG[9:8] == 2'b11) ? 2'b10 : OPREG[9:8];      // recode length field, is used in ACC field
866 9 ns32kum
        assign hdl_c = OPREG[10:8];                     // FL + BWD
867
        assign hdl_d = {1'b1,~hdx_a};           // length FP
868
        assign hdl_e = {OPREG[8],2'bxx};        // BWD don't care
869 11 ns32kum
        assign hdl_f = (OPREG[18:17] == 2'b00) ? OPREG[9:8] : {OPREG[8],~(OPREG[9] ^ OPREG[8])};        // exclusiv for DEI
870 9 ns32kum
        assign hdl_g = {(OPREG[9:8] != 2'b00),(OPREG[9:8] == 2'b00)};   // exclusiv for EXT/EXTS base operand
871
        assign hdl_h = {(OPREG[9:8] != 2'b00),(OPREG[9:8] != 2'b01)};   // exclusiv for CHECK bound operand
872
        // Register definitions
873
        assign hdr_a = {3'b000,OPREG[21:19]};   // SRC1 Integer Register
874
        assign hdr_b = {3'b000,OPREG[16:14]};   // SRC2 Integer Register
875
        assign hdr_c = hdx_a ? {2'b10,OPREG[21:20],1'b0,OPREG[19]} : {2'b10,OPREG[21:19],1'b1};
876
        assign hdr_d = hdx_a ? {2'b10,OPREG[16:15],1'b0,OPREG[14]} : {2'b10,OPREG[16:14],1'b1};
877
        assign hdr_e = OPREG[11] ? {2'b10,OPREG[21:20],1'b0,OPREG[19]} : {2'b10,OPREG[21:19],1'b1};
878
        assign hdr_f = OPREG[11] ? {2'b10,OPREG[16:14],1'b1}               : {2'b10,OPREG[16:15],1'b0,OPREG[14]};
879 23 ns32kum
        assign hdr_g = {3'b000,OPREG[16:15],~OPREG[14]};        // exclusiv for DEI and MEI
880 9 ns32kum
        assign hdr_m = {3'b001,OPREG[17:15]};   // MMU Register Index 8-15
881
 
882
        always @(*)
883
                casex (OPREG[13:3])
884 11 ns32kum
                  11'b1000_xx_1100x : op3_feld = {6'o11,3'o3,hdr_a,hdr_b, hdl_b,hdl_b,OPREG[23:14],2'b00,hdl_a,4'h7,hdo_a};     // MULi
885
                  11'b000x_xx_0100x : op3_feld = {6'o11,3'o3,hdr_a,hdr_b, 2'b00,hdl_b,OPREG[23:14],2'b00,hdl_a,4'h6,hdo_a};     // ROTi,ASHi
886
                  11'b0101_xx_0100x : op3_feld = {6'o11,3'o3,hdr_a,hdr_b, 2'b00,hdl_b,OPREG[23:14],2'b00,hdl_a,4'h6,hdo_a};     // LSHi
887
                  11'b1x0x_xx_0100x : op3_feld = {6'o11,3'o1,hdr_a,hdr_b, hdl_b,hdl_b,OPREG[23:14],2'b00,hdl_a,4'h6,hdo_a};     // NEGi,NOTi,ABSi,COMi
888
                  11'b010x_xx_1100x : op3_feld = {6'o11,3'o1,hdr_a,hdr_b, hdl_b,2'b01,OPREG[23:14],2'b00,hdl_a,4'h7,hdo_a};     // MOVX/ZiW
889
                  11'b011x_xx_1100x : op3_feld = {6'o11,3'o1,hdr_a,hdr_b, hdl_b,2'b10,OPREG[23:14],2'b00,hdl_a,4'h7,hdo_a};     // MOVX/ZiD
890
                  11'b0001_xx_0110x : op3_feld = {6'o11,3'o3,hdr_a,hdr_b, hdl_b,2'b00,OPREG[23:14],2'b00,hdl_a,4'h8,hdo_c};     // FFSi
891 9 ns32kum
        // Floating Point opcodes
892 23 ns32kum
                  11'b000x_xx_0011x : op3_feld = {6'o11,3'o5,hdr_a,hdr_d, hdl_b,hdl_d,OPREG[23:14],2'b00,hdl_c,4'h9,hdo_a};     // MOVif
893
                  11'b010x_xx_0011x : op3_feld = {6'o11,3'o5,hdr_e,hdr_f, 2'b11,2'b10,OPREG[23:14],2'b00,hdl_c,4'h9,hdo_a};     // MOVLF
894
                  11'b011x_xx_0011x : op3_feld = {6'o11,3'o5,hdr_e,hdr_f, 2'b10,2'b11,OPREG[23:14],2'b00,hdl_c,4'h9,hdo_a};     // MOVFL
895
                  11'b10xx_xx_0011x : op3_feld = {6'o11,3'o5,hdr_c,hdr_b, hdl_d,hdl_b,OPREG[23:14],2'b00,hdl_c,4'h9,hdo_a};     // ROUNDi,TRUNCi
896
                  11'b111x_xx_00111 : op3_feld = {6'o11,3'o5,hdr_c,hdr_b, hdl_d,hdl_b,OPREG[23:14],2'b00,hdl_c,4'h9,hdo_a};     // FLOORi
897
                  11'b111x_xx_00110 : op3_feld = {6'o11,3'o5,hdr_c,hdr_b, hdl_d,hdl_b,OPREG[23:14],2'b00,hdl_c,op_cop};         // SEARCH
898
                  11'b0x00_0x_10111 : op3_feld = {6'o11,3'o7,hdr_c,hdr_d, hdl_d,hdl_d,OPREG[23:14],2'b00,hdl_e,4'hB,hdo_a};     // ADDf,SUBf
899
                  11'bxx00_0x_10110 : op3_feld = {6'o11,3'o7,hdr_c,hdr_d, hdl_d,hdl_d,OPREG[23:14],2'b00,hdl_e,op_cop};         // Coprocessor
900
                  11'b1000_0x_10111 : op3_feld = {6'o11,3'o7,hdr_c,hdr_d, hdl_d,hdl_d,OPREG[23:14],2'b00,hdl_e,4'hB,hdo_a};     // DIVf
901
                  11'b1100_0x_10111 : op3_feld = {6'o11,3'o7,hdr_c,hdr_d, hdl_d,hdl_d,OPREG[23:14],2'b00,hdl_e,4'hB,hdo_a};     // MULf
902
                  11'b0010_0x_1011x : op3_feld = {6'o11,3'o6,hdr_c,hdr_d, hdl_d,hdl_d,OPREG[23:14],2'b00,hdl_e,4'hB,hdo_a};     // CMPf
903
                  11'b0001_0x_10111 : op3_feld = {6'o11,3'o1,hdr_c,hdr_d, hdl_d,hdl_d,OPREG[23:14],2'b00,hdl_e,4'hB,hdo_a};     // MOVf
904
                  11'bx101_0x_10111 : op3_feld = {6'o11,3'o1,hdr_c,hdr_d, hdl_d,hdl_d,OPREG[23:14],2'b00,hdl_e,4'hB,hdo_a};     // NEGf,ABSf
905
                  11'b001x_11_00111 : op3_feld = {6'o11,3'o1,hdr_a,fsr_r, 2'b10,2'b10,OPREG[23:19],5'b0,2'b00,3'o3,8'h92};      // LFSR
906
                  11'b110x_11_00111 : op3_feld = {6'o11,3'o1,fsr_r,hdr_b, 2'b10,2'b10,5'b0,OPREG[18:14],2'b00,3'o3,8'h9C};      // SFSR
907 9 ns32kum
        // MMU opcodes
908 11 ns32kum
                  11'b0010_11_0001x : op3_feld = {6'o11,3'o1,hdr_a,temp_h,2'b10,2'b10,OPREG[23:19],5'b0,2'b00, 3'o3,8'h45};     // LMR
909
                  11'b0011_11_0001x : op3_feld = {6'o11,3'o1,hdr_m,hdr_a, 2'b10,2'b10,5'b0,OPREG[23:19],2'b00, 3'o3,8'h45};     // SMR
910 9 ns32kum
        // String opcodes
911 11 ns32kum
                  11'b000x_xx_0000x : op3_feld = {6'o11,3'o0,6'hxx,6'hxx, 2'bxx,2'b10,OPREG[23:14],     2'b10,hdl_c,hdo_d};     // MOVS,CMPS
912
                  11'b0011_xx_0000x : op3_feld = {6'o11,3'o0,6'hxx,6'hxx, 2'bxx,2'b10,OPREG[23:14],     2'b10,hdl_c,hdo_d};     // SKPS
913 9 ns32kum
        // Custom opcodes
914 23 ns32kum
                  11'bxx01_0x_10110 : op3_feld = {6'o11,3'o5,hdr_c,hdr_d, hdl_d,hdl_d,OPREG[23:14],2'b00,hdl_e,op_cop};
915 9 ns32kum
        // Integer Divisionen : QUOi REMi DIVi MODi and DEIi + MEIi
916 11 ns32kum
                  11'b11xx_xx_1100x : op3_feld = {6'o11,3'o7,hdr_a,hdr_b, hdl_b,hdl_b,OPREG[23:14],2'b00,hdl_a,4'h7,hdo_a};
917
                  11'b10x1_xx_1100x : op3_feld = {6'o11,3'o7,hdr_a,hdr_g, hdl_b,hdl_f,OPREG[23:14],2'b10,hdl_a,4'h7,hdo_a};     // DEI/MEI
918 9 ns32kum
        // Gruppe 2 opcodes
919 11 ns32kum
                  11'b0x11_xx_1010x : op3_feld = {6'o77,3'o1,hdr_a,hdr_b, hdl_b,hdl_b,OPREG[23:14],2'b00,hdl_a,8'h45};          // MOVUS,MOVSU
920
                  11'b000x_xx_1100x : op3_feld = {6'o66,3'o0,hdr_a,hdr_b, 2'bxx,2'b10,OPREG[23:14],2'b10,hdl_c, hdo_d};         // MOVM/CMPM
921 14 ns32kum
                  11'b001x_0x_1111x : op3_feld = {6'o11,3'o2,hdr_c,hdr_d, hdl_d,hdl_d,OPREG[23:14],2'b10,hdl_e,4'hC,hdo_a};     // DOTf,POLYf
922 11 ns32kum
                  11'b0101_0x_1111x : op3_feld = {6'o11,3'o5,hdr_c,hdr_d, hdl_d,hdl_d,OPREG[23:14],2'b00,hdl_e,4'hB,hdo_e};     // LOGB
923 23 ns32kum
                  11'b0100_0x_1111x : op3_feld = {6'o11,3'o7,hdr_c,hdr_d, hdl_d,hdl_d,OPREG[23:14],2'b00,hdl_e,4'hB,hdo_e};     // SCALB
924 11 ns32kum
                  11'b0011_xx_1100x : op3_feld = {6'o50,3'o0,hdr_a,hdr_b, hdl_g,hdl_b,OPREG[23:14],2'b10,hdl_c,4'h7,hdo_a};     // EXTS
925 14 ns32kum
                  11'bxxx0_xx_1110x : op3_feld = {6'o71,3'o2,hdr_a,hdr_b, hdl_h,hdl_b,OPREG[23:14],2'b10,hdl_c,4'h8,hdo_c};     // CHECK
926 9 ns32kum
                  11'b0x1x_xx_0100x : op3_feld = (OPREG[18:17] == 2'b00) ?      // target is register => standard flow
927
                                                                                 {6'o11,3'o3,hdr_a,hdr_b, hdl_b,2'b10,OPREG[23:14],2'b00,hdl_a,4'h6,hdo_a}      // SBIT/CBIT
928
                                                                           : {6'o14,3'o3,hdr_a,hdr_b, hdl_b,2'b00,OPREG[23:14],2'b10,hdl_a,4'h6,hdo_a};
929
                  11'b1110_xx_0100x : op3_feld = (OPREG[18:17] == 2'b00) ?      // target is register => standard flow
930
                                                                                 {6'o11,3'o3,hdr_a,hdr_b, hdl_b,2'b10,OPREG[23:14],2'b00,hdl_a,4'h6,hdo_a}      // IBIT
931
                                                                           : {6'o14,3'o3,hdr_a,hdr_b, hdl_b,2'b00,OPREG[23:14],2'b10,hdl_a,4'h6,hdo_a};
932 23 ns32kum
                  11'b1x11_xx_0100x : op3_feld = {6'o11,3'o7,hdr_a,hdr_b, hdl_b,hdl_b,OPREG[23:14],2'b00,hdl_a,4'h7,3'd0,OPREG[12]}; // ADDP,SUBP
933 11 ns32kum
                  11'bxxx0_xx_0010x : op3_feld = {6'o40,3'o0,hdr_a,hdr_b, hdl_g,hdl_b,OPREG[23:14],2'b10,hdl_c,4'h8,hdo_c}; // EXT
934
                  11'bxxx0_xx_1010x : op3_feld = {6'o14,3'o0,hdr_a,hdr_b, hdl_b,2'b10,OPREG[23:14],2'b10, 3'o3,4'h8,hdo_c}; // INS
935
                  11'b0010_xx_1100x : op3_feld = {6'o14,3'o0,hdr_a,hdr_b, hdl_b,2'b10,OPREG[23:14],2'b10, 3'o3,4'h8,hdo_a}; // INSS
936
                  11'bxxx0_xx_0110x : op3_feld = {6'o61,3'o0,hdr_a,hdr_b, hdl_b,2'b10,OPREG[23:14],2'b10, 3'o3,4'h8,hdo_c}; // CVTP no Opcode
937 14 ns32kum
                  11'bxxx1_xx_0010x : op3_feld = {6'o11,3'o2,hdr_a,hdr_b, hdl_b,hdl_b,OPREG[23:14],2'b10, 3'o3,8'h84};          // INDEX
938 11 ns32kum
        // Gruppe 2 opcodes can have dedicated operation codes. Therefore the operation code definition here is "don't care"
939
                  11'b000x_xx_0001x : op3_feld = {6'o70,3'o0,hdr_a,hdr_b, 2'b00,2'b10,OPREG[23:19],5'b0,2'b10,3'o0,8'h45};      // RDVAL+WRVAL 
940
                  11'b1001_11_0001x : op3_feld = {6'o11,3'o1,hdr_a,temp_h,2'b10,2'b10,OPREG[23:19],5'b0,2'b00,3'o3,8'h45};      // CINV
941 9 ns32kum
 
942
                  default                   : op3_feld = {40'hxx_xxxx_xxxx,4'hA,4'hx};
943
                endcase
944
 
945 23 ns32kum
        assign op_3byte = valid[2] & (OPREG[2:0] == 3'b110) & (op3_feld[7:4] != 4'hA);   // valid for all incl. CUSTOM
946 9 ns32kum
 
947
        // +++++++++++++  Evaluation for 2 and 3 byte opcodes  ++++++++++++++++++
948
 
949
        // for one byte opcodes special treatmant neccessary
950
        assign opc_bits = op_3byte ? op3_feld : op2_feld;
951
 
952 11 ns32kum
        assign op_ok = (op_1byte | op_12byte | op_2byte | op_3byte | exception) & ~stop;        // used for computation of USED
953 9 ns32kum
 
954
        always @(posedge BCLK) if (PHASE_0) op_feld_reg <= opc_bits;
955
        assign op_feld = PHASE_0 ? opc_bits : op_feld_reg;      // constant for all following cycles
956
 
957
        // Evaluation of op_feld :
958
 
959
        assign atys              = op_feld[47:45];      // [2] : TOS=>(SP), [1] : Ri => (Ri), [0] : 1=access of memory
960
        assign atyd              = op_feld[44:42];      // [2] : TOS=>(SP), [1] : Ri => (Ri), [0] : 1=access of memory
961
        assign long              = op_feld[41];
962
        assign src2_flag = op_feld[40];
963
        assign dest_flag = op_feld[39];
964
 
965
        assign src_1    = {1'b0,op_feld[38:33]};
966
        assign src_2    = {1'b0,op_feld[32:27]};
967
        assign src1_le  = op_feld[26:25];
968
        assign src2_le  = op_feld[24:23];
969 11 ns32kum
        assign acc1     = (op_feld[22:21] != 2'b00) | atys[1];  // external access Source1 or "addr" : Reg => (Reg)
970
        assign acc2     = (op_feld[17:16] != 2'b00) | atyd[1];  // external access Source2 or "addr" : Reg => (Reg)
971 9 ns32kum
        assign wlor             = dest_flag & ~acc2;
972 11 ns32kum
        assign idx_n    = {1'b0,(op_feld[22:20] == 3'b111)} + {1'b0,(op_feld[17:15] == 3'b111)};        // Index : 0,1 or 2
973 9 ns32kum
        assign idx              = (idx_n != 2'b00);     // Index is active
974
        assign n_idx    = idx_n - 2'b01;
975
 
976
        // The field otype is used only in Phase 0
977 11 ns32kum
        assign otype    = exception ? 2'b11 : ((op_1byte | op_12byte) ? 2'b01 : opc_bits[12:11]);       // string opcodes use code 2'b10
978 9 ns32kum
 
979
        assign opera    = op_feld[10:0];
980
 
981
        assign dest_r   = src_2[5:0];
982
        assign dest_rl  = {dest_r[5:1],1'b0};
983
 
984 23 ns32kum
        // ++++++++++++++++++++++++++++ Immediate Optimization +++++++++++++++++++++++++++++++++++
985
 
986
        //                                              3               Byte                                    Immediate
987
        assign opt_imme =  (valid[2] & (OPREG[1:0] == 2'd0) & (OPREG[15:11] == 5'b10100) &
988
        //                                                       ADD,ADDC,SUB,SUBC,BIC,OR,AND,XOR               CMP                                     MOV
989
                                                ( ((OPREG[10:8] != 3'b111) & (~OPREG[2] | (OPREG[5:2] == 4'h1) | (OPREG[5:2] == 4'h5))) // not ADDR und TBIT
990
                                                 |((OPREG[6:2] == 5'b11111) & (OPREG[8:7] == 2'b10) & (OPREG[10:9] != 2'b11)))) // BICPSR,BISPSR,ADJSP and ~CASE
991
        //                                              4                       not Scaled Index                        Immediate
992
                                         | (ANZ_VAL[2] & (OPREG[18:16] != 3'b111) & (OPREG[23:19] == 5'b10100) &
993
                                                ( ((OPREG[7:0] == 8'h4E) & ~OPREG[13] & ~OPREG[11])              // ROT,ASH,LSH but not NEG,NOT,ABS,COM
994
                                                 |((OPREG[7:0] == 8'hCE) & (OPREG[13:12] == 2'b01) & (OPREG[9:8] == 2'd0))));    // MOVX/ZBi
995
 
996
        assign opti_byte = (OPREG[1:0] == 2'b10) ? OPREG[31:24] : OPREG[23:16];
997
 
998 9 ns32kum
        // +++++++++++++++++++++++++  Coprocessor operations field  ++++++++++++++++++++++++++++++
999
 
1000
        always @(posedge BCLK) if (PHASE_0) COP_OP <= OPREG[23:0];
1001
 
1002
        // +++++++++++++++++++++++++  Special signals for LMR and CINV  ++++++++++++++++++++++++++
1003
        // op_lmr is constant = parameter
1004
 
1005
        assign STOP_IC  = (phase_reg == 8'h74) | (phase_reg == 8'h75);
1006
 
1007
        // CINV uses Register x'30 - x'37 :  CINV = 110... , LMR = 001... otherwise CFG
1008 11 ns32kum
        always @(posedge BCLK) if (PHASE_0) lmrreg <= op_3byte ? {{2{OPREG[13]}},~OPREG[13],OPREG[17:15]} : 6'h1C;
1009 9 ns32kum
 
1010 11 ns32kum
        always @(posedge BCLK) no_init <= (lmrreg[5:4] == 2'b00) & (lmrreg[3:1] != 3'b110);     // LMR waits for INIT at PTB0/1
1011 9 ns32kum
        // a_ivar = "Addresse IVAR0/1"
1012 11 ns32kum
        always @(posedge BCLK) a_ivar  <= STOP_IC;      // Phase 74 & 75, is used at INFO_AU together with IC_READ
1013 9 ns32kum
 
1014
        // CINV detection for IC_CACHE
1015
        always @(posedge BCLK)
1016
                if (PHASE_0) cinv_flag <= OPREG[13] & (OPREG[7:0] == 8'h1E);
1017
                        else cinv_flag <= cinv_flag & ~phase_reg[7];    // reset at exception
1018
 
1019
        assign ENA_HK = ~(cinv_flag & STOP_IC); // always "1", if CINV then "0" 
1020
 
1021
        // +++++++++++++++++++++++++  USER flag for MOVUS & MOVSU  ++++++++++++++++++++++++
1022
 
1023
        always @(posedge BCLK)
1024
                if (PHASE_0) m_ussu <= (~OPREG[13] & (OPREG[11:10] == 2'b11) & (OPREG[7:0] == 8'hAE));
1025
                        else m_ussu <= m_ussu & ~phase_reg[7];  // reset at exception
1026
 
1027
        always @(posedge BCLK) if (PHASE_0) m_usel <= OPREG[12];
1028
 
1029
        // +++++++++++++++++++++++++  USER flag for RDVAL & WRVAL  ++++++++++++++++++++++++
1030
 
1031
        always @(posedge BCLK)
1032
                if (PHASE_0) rwval_flag <= (OPREG[13:11] == 3'd0) & (OPREG[7:0] == 8'h1E);
1033
                        else rwval_flag <= rwval_flag & ~phase_reg[7];  // reset at exception
1034
 
1035
        always @(posedge BCLK) if (PHASE_0) wrval_flag <= OPREG[10];    // Difference RDVAL=0 and WRVAL=1
1036
 
1037
        // +++++++++++++++++++++++++  Flags for CBIT/I+SBIT/I+IBIT  +++++++++++++++++++++++
1038
 
1039 11 ns32kum
        assign rw_bit = (op_feld_reg[7:4] == 4'd6) & ((~op_feld_reg[3] & op_feld_reg[1]) | (op_feld_reg[3:0] == 4'hE));
1040 9 ns32kum
        assign op_ilo = rw_bit & op_feld_reg[0]; // Interlocked : CBITI and SBITI
1041
 
1042
        // +++++++++++++++++++++++++++++  Operations for String processing  +++++++++++++++++
1043
        // Address field : Size:2 RD WR LDEA FULLACC INDEX:4 SPUPD disp_val:4 POST CLRMSW SRC2SEL:2
1044
 
1045 11 ns32kum
        assign st_src   = {STRING[1:0],5'b1010_0,(op_feld_reg[15] & ~kurz_st),STRING[1:0],9'b0_0000_1000};        // [15] = BACKWARD
1046
        assign st_src2  = {STRING[1:0],5'b1010_0,(op_feld_reg[15] & ~kurz_st),STRING[1:0],9'b0_0000_1011};        // Reuse EA
1047
        assign st_dest  = {STRING[1:0],5'b0110_0,(op_feld_reg[15] & ~kurz_st),STRING[1:0],9'b0_0000_1011};        // Reuse EA
1048
        assign st_trde  = {2'b00,      5'b0110_0, op_feld_reg[15],            2'b00,      9'b0_0000_1000};      // after Translate to Dest
1049
        assign st_trs2  = {STRING[1:0],5'b1010_0, op_feld_reg[15],            STRING[1:0],9'b0_0000_1000};        // after Match to SRC2
1050 9 ns32kum
        assign st_len   = {STRING[1:0],17'b0000_0000_0_0000_0000};       // length important for qw_flag
1051
 
1052
        // Signals of DETOIP go to I_PFAD
1053 11 ns32kum
        always @(posedge BCLK) if (PHASE_0) kill_opt <= ~OPREG[7] & (OPREG[17:15] != 3'b000);   // watch difference of MOVM and MOVS
1054 9 ns32kum
        assign ph_match = (phase_reg[7:4] == 4'hD) & (phase_reg[2:0] == 3'd7);   // Phase D7 and DF
1055
 
1056
        assign op_str   = {op_feld_reg[10:8],6'b0101_00,op_feld_reg[1],1'b1}; // Opcode 8'h51 or 8'h53;
1057
        assign op_scp   = {op_feld_reg[10:8],8'h41};    // normal CMPi
1058
        assign ph_str   = {4'hC,op_feld_reg[1],3'b001}; // Phase 8'hC1 (MOVS/M) or 8'hC9 (CMPS/M)
1059
 
1060 11 ns32kum
        always @(posedge BCLK) kurz_st <= (phase_reg == 8'h65) | (kurz_st & ~PHASE_0);                  // Flag for MOVM/CMPM
1061
        always @(posedge BCLK) if (PHASE_0) cmps_flag <= ~OPREG[7] & (OPREG[11:10] == 2'b01);   // Flag for CMPS
1062
        always @(posedge BCLK) if (PHASE_0) skps_flag <= ~OPREG[7] & (OPREG[11:10] == 2'b11);   // Flag for SKPS
1063
        always @(posedge BCLK) if (PHASE_0) mt_flag <= ~OPREG[7] & (OPREG[17] | OPREG[15]);             // Flag for Match and Translate
1064 9 ns32kum
 
1065
        assign wstr0    = {{4{kurz_st}},2'b00};
1066
        assign wstr1    = {{4{kurz_st}},2'b01};
1067
        assign wstr2    = {{4{kurz_st}},2'b10};
1068
        assign rstr0    = {1'b0,wstr0};
1069
        assign rstr1    = {1'b0,wstr1};
1070
        assign rstr2    = {1'b0,wstr2};
1071
 
1072
        // +++++++++++++++++++++++++++++++++++  Index processing  +++++++++++++++++++++++++++++++++++++++++
1073
 
1074
        assign phase_idx = (phase_reg == 8'h02) | (phase_reg == 8'h50);
1075
 
1076
        assign idx_bytes = idx_1[2] ? OPREG[15:0] : {OPREG[7:0],OPREG[7:0]};       // here last access of OPREG
1077
        always @(posedge BCLK) if (phase_idx) idx_reg <= idx_bytes;
1078
        assign idx_feld = (phase_idx) ? idx_bytes : idx_reg;
1079
 
1080
        // +++++++++++++++++++++++++++++++++++  The big state machine  ++++++++++++++++++++++++++++++++++++
1081
 
1082
        // Hints :
1083
        // 1. At short-op SRC1 is out of memory to use TEMP
1084
        // 2. At SRC2 rmw suppresed TOS and changed it to (SP)
1085
        // 3. The Long-operation path takes the dest_r address to write if WR_REG activ
1086 11 ns32kum
        // 4. It is ok, that an extra cycle for the read of the index registers is needed - then data could be written ins Out register
1087 9 ns32kum
 
1088
        // Source 1
1089
 
1090
        assign idx_1 = {1'b0,(op_feld[22:20] == 3'b111),op_feld[19:18]};
1091
        assign src1_addr = idx_1[2] ? idx_feld[7:3] : op_feld[22:18];
1092
        assign stack  = {1'b0,stack_sel[s_user],3'b110,s_user,1'b1};
1093
        assign usp_1  = src1_addr[0] ? stack : {5'b0_0110,src1_addr[1:0]};
1094
        assign src_1l = {src_1[6:1],1'b0};
1095
        assign pop_1  = {2'b00,src1_le,9'h108}; // SP update, DISP=0 and POST
1096 11 ns32kum
        assign mpoi_1 = (src1_addr[4:2] == 3'b100) | (src1_addr == 5'h16);      // Pointer in memory always DWord
1097 9 ns32kum
        assign auop_s = atys[0] ? 4'b1011 : 4'b0010;     // Only make effective address ?
1098 14 ns32kum
        assign src1_tos = (op_feld[22:18] == 5'h17) & ~atys[2] ? 2'b11 : 2'b00; // Source 1 is true TOS
1099 9 ns32kum
 
1100
        // Nextfield : 11=DISP read
1101
        // Address field : Size:2 RD WR LDEA FULLACC INDEX:4 SPUPD disp_val:4 POST CLRMSW SRC2SEL:2
1102
        always @(*)
1103
                casex (src1_addr)       //       RWLF   IDX    ADDR_F NEUP  SRC_REG                   NEXT
1104 11 ns32kum
                  // Special case which is only valid at INDEX or "addr" : REG -> ADDR , DISP=0 : starts immediate at read
1105 9 ns32kum
                  5'b00xxx : gen_src1 = {auop_s, idx_1,9'h000,8'h07,4'h0,src1_addr[2:0],3'b000,atys[0]};
1106
                  // Register relativ : 0(R0)
1107
                  5'b01xxx : gen_src1 = {auop_s, idx_1,9'h000,8'h07,4'h0,src1_addr[2:0],3'b111,atys[0]};
1108
                  // Memory relativ : 0(0(SB))
1109 11 ns32kum
                  5'b100xx : gen_src1 = {4'b1011,4'h0, 9'h000,8'h06,usp_1,                        4'b1111};                     // 1. access always full
1110 9 ns32kum
                  // Immediate
1111
                  5'b10100 : gen_src1 = (src1_le == 2'b11) ?
1112
                                                                {4'h0,   4'h0, 9'h000,8'h0B,src_x,                        1'b1,2'b10,1'b0}      // load in DWord pieces
1113
                                                          : {4'h0,   4'h0, 9'h000,8'h07,src_x,                    1'b1,src1_le,1'b0};
1114 11 ns32kum
                  5'b10101 : gen_src1 = {auop_s, idx_1,9'h002,8'h07,src_x,                        3'b111,atys[0]};       // Absolut Addressing
1115
                  5'b10110 : gen_src1 = {4'b1011,4'h0, 9'h014,8'h05,7'h1F,                        4'b0001};                     // External with MOD Register +4
1116 9 ns32kum
                  5'b10111 : gen_src1 = (idx_1[2] | atys[2]) ?                                                                                  // Access class "addr" ?
1117
                                                                {auop_s, idx_1,9'h000,8'h07,stack,                        3'b000,atys[0]}        // 0(SP) : no TOS flag
1118
                                                          : {4'b1011,pop_1,       8'h07,stack,                    4'b0001};                     // TOS
1119
                  // Memory Space : 0(SB)
1120 11 ns32kum
                  5'b110x0 : gen_src1 = {auop_s, idx_1,9'h000,8'h07,5'b0_0110,src1_addr[1:0],3'b111,atys[0]};     // SB+FP
1121 9 ns32kum
                  5'b11001 : gen_src1 = {auop_s, idx_1,9'h000,8'h07,stack,                        3'b111,atys[0]};       // SP
1122
                  5'b11011 : gen_src1 = {auop_s, idx_1,9'h001,8'h07,src_x,                        3'b111,atys[0]};       // PC relativ
1123
                  default  : gen_src1 = 36'hx_xxxx_xxxx;        // don't care
1124
                endcase
1125
 
1126
        assign adrd1 = {(mpoi_1 ? 2'b10 : src1_le),gen_src1[35:19]};    // Addressfield : 19 Bits
1127
        assign phrd1 = gen_src1[18:11];                                 // next phase
1128
        assign rega1 = gen_src1[10:4];                                  // Source 1 Register
1129
        assign irrw1 = {4'b0,idx_feld[2:0]};                     // Index-Register
1130
        assign nxrd1 = gen_src1[3:0];                                    // Memory/Disp/Immediate operation
1131
        assign exr11 = {2'b10  ,4'b1011,4'h0 ,9'h080};  // 2. access external with Mem.-Pointer + 4* Disp
1132
        assign exr12 = {src1_le,auop_s,idx_1,9'h000};   // for Memory Relative and EXT in last step
1133
 
1134
        // Source 2 resp. Destination
1135
 
1136
        assign rmw = src2_flag & dest_flag;
1137
        assign idx_2 = {1'b0,(op_feld[17:15] == 3'b111),op_feld[14:13]};        // 4 bits
1138
        assign src2_addr = idx_2[2] ? idx_feld[15:11] : op_feld[17:13];
1139
        assign usp_2 = src2_addr[0] ? stack : {5'b0_0110,src2_addr[1:0]};
1140
        assign src_2l = {src_2[6:1],1'b0};
1141 11 ns32kum
        assign mpoi_2 = (src2_addr[4:2] == 3'b100) | (src2_addr == 5'h16);      // Pointer in memory always DWord
1142 9 ns32kum
        assign auop_d = atyd[0] ? 4'b1011 : 4'b0010;     // Only make effective address ?
1143
 
1144
        // The next assessment processes TOS separated for PUSH and POP
1145
        assign tos_oper =                       src2_flag ?
1146 11 ns32kum
                                                                {2'b00,atyd[0],2'b01,atyd[0],2'b00,src2_le,7'b1_0000_10,   src1_tos,4'h7,stack,3'b0,atyd[0]}       // POP
1147
                                                          : {1'b0,atyd[0],3'b001,atyd[0],4'h0,   1'b1,2'b10,src2_le,2'b0,src1_tos,4'h7,stack,3'b0,atyd[0]};        // PUSH
1148 9 ns32kum
 
1149
        // Nextfield : 11=DISP read
1150
        // Address field : Size:2 RD WR LDEA FULLACC INDEX:4 SPUPD disp_val:4 POST CLRMSW SRC2SEL:2
1151
        always @(*)
1152
                casex (src2_addr)       //       RW:W  RW:R  LF    IDX   ADDR_F NEUP   SRC_REG          NEXT
1153 11 ns32kum
                  // Special case which is only valid at INDEX or "addr" : REG -> ADDR , DISP=0 : starts immediate at read
1154
                  5'b00xxx : gen_src2 = {1'b0,atyd[0],auop_d,     idx_2,9'h000,4'h7,4'h0,src2_addr[2:0],3'b000,atyd[0]};
1155 9 ns32kum
                  // Register relativ : 0(R0)
1156 11 ns32kum
                  5'b01xxx : gen_src2 = {1'b0,atyd[0],auop_d,     idx_2,9'h000,4'h7,4'h0,src2_addr[2:0],3'b111,atyd[0]};
1157 9 ns32kum
                  // Memory relativ : 0(0(SB))
1158 11 ns32kum
                  5'b100xx : gen_src2 = {2'b10,2'b10,2'b11,4'h0, 9'h000,4'h6,usp_2,             4'b1111};       // 1. access always full
1159 9 ns32kum
                  // Immediate
1160
                  5'b10100 : gen_src2 = (src2_le == 2'b11) ?
1161
                                                                {2'b00,2'b00,2'b00,4'h0, 9'h000,4'hB,src_x,             1'b1,2'b10,1'b0}        // load in DWord pieces
1162
                                                          : {2'b00,2'b00,2'b00,4'h0, 9'h000,4'h7,src_x,         1'b1,src2_le,1'b0};
1163 11 ns32kum
                  5'b10101 : gen_src2 = {1'b0,atyd[0],auop_d,     idx_2,9'h002,4'h7,src_x,               3'b111,atyd[0]}; // Absolut with special coding
1164
                  5'b10110 : gen_src2 = {2'b10,2'b10,2'b11,4'h0, 9'h014,4'h5,7'h1F,             4'b0001};       // External with MOD Register +4
1165 9 ns32kum
                  5'b10111 : gen_src2 = (idx_2[2] | rmw | atyd[2]) ?
1166 11 ns32kum
                                                                {1'b0,atyd[0],auop_d, idx_2,7'b0_0000_00,src1_tos,4'h7,stack,    3'b000,atyd[0]}  // 0(SP) : TOS + DISP=0
1167 9 ns32kum
                                                          : tos_oper;   // TOS : 2 cases for PUSH and POP
1168
                  // Memory Space
1169 11 ns32kum
                  5'b110x0 : gen_src2 = {1'b0,atyd[0],auop_d,     idx_2,9'h000,4'h7,5'b0_0110,src2_addr[1:0],3'b111,atyd[0]};
1170 9 ns32kum
                  5'b11001 : gen_src2 = {1'b0,atyd[0],auop_d,     idx_2,9'h000,4'h7,stack,               3'b111,atyd[0]};
1171 11 ns32kum
                  5'b11011 : gen_src2 = {1'b0,atyd[0],auop_d,     idx_2,9'h001,4'h7,src_x,               3'b111,atyd[0]}; // PC relativ
1172 9 ns32kum
                  default  : gen_src2 = 34'hx_xxxx_xxxx;        // don't care
1173
                endcase
1174
 
1175
        assign adrd2 = {(mpoi_2 ? 2'b10 : src2_le),gen_src2[31:15]};
1176
        assign adwr2 = {(mpoi_2 ? 2'b10 : src2_le),gen_src2[33:32],gen_src2[29:15]};
1177
        assign phrd2 = {4'h1,gen_src2[14:11]};                          // Phase for Read Source 2
1178
        assign phwr2 = {4'h2,gen_src2[14:11]};                          // Phase for Write Destination
1179
        assign rega2 = gen_src2[10:4];
1180
        assign nxrw2 = gen_src2[3:0];
1181
        assign irrw2 = {4'b0,idx_feld[10:8]};
1182
        assign re_wr = {src2_le,4'b0101,4'h0, 9'h003};          // REUSE Address : Write of rmw
1183 11 ns32kum
        assign exr22 = {src2_le,atyd[0],1'b0,1'b1,atyd[0],idx_2,9'h000};  // for Memory Relative and EXT in last step
1184
        assign exw22 = {src2_le,1'b0,atyd[0],1'b1,atyd[0],idx_2,9'h000};  // for Memory Relative and EXT in last step
1185 9 ns32kum
 
1186
        // Special case :
1187
 
1188
        assign quei1 = acc1 ? imme : src_1l;    // 8B passing either from register or from extern
1189
        // 8B is requested from both operands but only to certain times
1190
        assign qword = (phase_reg[7:4] != 4'h0) ? (src2_le == 2'b11) :  (src1_le == 2'b11);
1191
        assign quet1 = acc1 ? temp_h : src_1;   // select source during calculation
1192
 
1193
        // Output data of state machine 
1194
        //                                                      LOAD if  PULS if        simple
1195
        //                                      NEXT -> ENABLE   ENABLE         out
1196
        //  [66:48] 19  ADDR :                  X                                               ; Op-length REUSE RD/WR etc.
1197
        //      [47:40]  8      new phase               X
1198
        //      [39:33]  7      SRC1                                                    X
1199
        //      [32:26]  7      SRC2                                                    X
1200
        //         [25]  1      WREN                                    X
1201
        //      [24:19]  6      DEST                    X
1202
        //       [18:8] 11      OPER                    X
1203
        //        [7:6]  2      START                                   X
1204
        //        [5:4]  2      LD_OUT                                  X
1205
        //      [3]  1  ID Load                 X
1206
        //        [2:1]  2      ID Type                 X                                               ; 0 = DISP
1207
        //              [0]  1  MEM Access              X
1208
 
1209
        // State         acc2-src2_flag-dest_flag
1210
        // no SRC2      x               0                x
1211
        // SRC2=REG             0                1               0        ; CMP+TBIT
1212
        // SRC2=REG             0                1               1       ; all else
1213
        // SRC2=MEM             1               1               0        ; CMP+TBIT
1214
        // SRC2=MEM             1               1               1       ; all else
1215
 
1216
        // Input data for state machine
1217
 
1218
        //      8 phase_reg :   phase of state machine
1219
        //      2 otype :               Opcode type
1220
 
1221
        //      1 idx :                 Index is available : 1 or 2 , only PHASE_0
1222
        //      1 short_op :    short opcodes like ADDQ
1223
        //      1 long :                "long" opcode
1224
        //      1 qword :               8B access at Source (Exception DEI+MEI)
1225
 
1226
        //      1 acc1 :                Reg/Extern SRC1
1227
        //      1 acc2 :                Reg/Extern SRC2
1228
        //      1 src2_flag :   the 2. operand is being read
1229
        //      1 dest_flag :   there is a target operand : only CMP and TBIT have none
1230
 
1231
        assign phase_ein = abbruch ? 8'h00 : phase_reg;
1232
 
1233
        always @(*)             //                 "_"                                           "_"
1234
                casex ({phase_ein,otype, idx,short_def,long,qword, acc1,acc2,src2_flag,dest_flag})
1235 11 ns32kum
 {8'h00,10'b00_1xxx_xxxx}:       // Index must be loaded : phase 2 : in any case load TEMP for Short-Op and generate LD_OUT
1236 9 ns32kum
                                                        new_op = short_op ?     //                                                                                      START LD_OUT
1237
                                                                         {addr_nop,8'h02, imme, src_x, 1'b1,temp_h,             op_sho, 2'b00,2'b10,    1'b1,n_idx,1'b0}
1238
                                                                   : {addr_nop,8'h02, src_1,src_1l,1'b0,dest_x,         opera,  2'b00,~src2_flag,2'b1_1,n_idx,1'b0};
1239
 {8'h00,10'b00_01xx_x0xx}:       // Short-Op to register, LD_OUT because of CMPQ
1240
                                                        new_op = {addr_nop,goacb, imme, src_2,dest_flag,dest_r, opera,  2'b00,2'b10,    4'h0};
1241
 {8'h00,10'b00_01xx_x11x}:       // Short-Op : external operand read : SRC2 ! Data in TEMP ! Here no Index
1242
                                                        new_op = {adrd2,   phrd2, imme, rega2, 1'b1,temp_h,             op_mov, 2'b00,2'b00,    nxrw2};
1243
 {8'h00,10'b00_01xx_x10x}:       // MOVQ to Mem
1244
                                                        new_op = {adwr2,   phwr2, imme, rega2, 1'b0,dest_x,             opera,  2'b00,2'b10,    nxrw2};
1245
 {8'h00,10'b00_0000_00xx}:       // simple register operation : dest_flag controls WREN, LD_OUT for CMP
1246
                                                        new_op = {addr_nop,dowait,src_1,src_2, dest_flag,dest_r,opera,  2'b00,2'b10,    4'h0};
1247
 {8'h00,10'b00_0001_00xx}:       // "simple" Reg-Op of 8B, phase 8 after 2. DWord , not via LONG-path
1248
                                                        new_op = {addr_nop,8'h08, src_1,src_x, 1'b1,dest_r,             opera,  2'b00,2'b00,    4'h0};
1249
 {8'h00,10'b00_0010_00xx}:       // long register operation i.e. DIV - phase 31
1250
                                                        new_op = {addr_nop,8'h1F, src_1,src_2, wlor,dest_r,             opera,  2'b11,2'b00,    4'h0};
1251
 {8'h00,10'b00_0011_001x}:       // long register operation with QWORD - phase 26 then wait
1252
                                                        new_op = {addr_nop,8'h1A, src_1,src_2, 1'b0,dest_r,             opera,  2'b01,2'b00,    4'h0};
1253
 {8'h00,10'b00_00xx_1xxx}:       // Source 1 in memory - first to read , here no Index
1254
                                                        new_op = {adrd1,   phrd1, src_x,rega1, 1'b0,dest_x,             opera,  2'b00,2'b00,    nxrd1};
1255
 {8'h00,10'b00_00xx_011x}:       // Source 2 in memory - first to read (Source 1 in register)
1256
                                                        new_op = {adrd2,   phrd2, src_x,rega2, 1'b0,dest_x,             opera,  2'b00,2'b00,    nxrw2};
1257
 {8'h00,10'b00_0000_0101}:       // Source 1 store in Dest : "pass through" for MOV,NEG,ABS
1258
                                                        new_op = {adwr2,   phwr2, src_1,rega2, 1'b0,dest_x,             opera,  2'b00,2'b10,    nxrw2};
1259 11 ns32kum
 {8'h00,10'b00_0001_0101}:       // Source 1 store in Dest : "pass through" for MOV,NEG,ABS for Long operands
1260
                                                        new_op = //(op_feld[17:13] == 5'h17) ?  // TOS : special case , first 8B out of Reg and then read SP
1261 9 ns32kum
                                                                         {addr_nop,8'h1C, src_1,src_1l,1'b0,dest_x,             opera,  2'b00,2'b11,    4'h0};
1262
 {8'h00,10'b00_0010_0101}:       // SRC1 -> DEST with short operands 
1263
                                                        new_op = {addr_nop,8'h1F, src_1,src_x, 1'b0,dest_r,             opera,  2'b11,2'b00,    4'h0};
1264
 {8'h00,10'b00_0011_0x01}:       // SRC1 -> DEST i.e. ROUNDLi
1265
                                                        new_op = {addr_nop,8'h1F, src_1,src_1l,wlor,dest_r,             opera,  2'b11,2'b00,    4'h0};
1266
 
1267
                // Phase 2 : after read of Index nearly everything is repeated from PHASE_0
1268
 {8'h02,10'bxx_x1xx_x11x}:       // Short-Op : external operand read
1269
                                                        new_op = {adrd2,   phrd2, irrw2,rega2, 1'b0,dest_x,             opera,  2'b00,2'b00,    nxrw2};
1270
 {8'h02,10'bxx_x1xx_x101}:       // MOVQ to Mem, data is in Out-Register
1271
                                                        new_op = {adwr2,   phwr2, irrw2,rega2, 1'b0,dest_x,             opera,  2'b00,2'b00,    nxrw2};
1272
 {8'h02,10'bxx_x0xx_1xxx}:       // Source 1 in memory - first to read
1273
                                                        new_op = {adrd1,   phrd1, irrw1,rega1, 1'b0,dest_x,             opera,  2'b00,2'b00,    nxrd1};
1274
 {8'h02,10'bxx_x0xx_011x}:       // Source 2 in memory - first to read
1275
                                                        new_op = {adrd2,   phrd2, irrw2,rega2, 1'b0,dest_x,             opera,  2'b00,2'b00,    nxrw2};
1276 11 ns32kum
 {8'h02,10'bxx_x00x_0101}:       // Source 1 store in Dest : "pass through" , data is already in Out-Register
1277 9 ns32kum
                                                        new_op = {adwr2,   phwr2, irrw2,rega2, 1'b0,dest_x,             opera,  2'b00,2'b00,    nxrw2};
1278
 {8'h02,10'bxx_x010_0101}:       // SRC1 -> DEST with short operands
1279
                                                        new_op = {addr_nop,8'h1F, src_1,src_x, 1'b0,dest_x,             opera,  2'b11,2'b00,    4'h0};
1280
 {8'h02,10'bxx_x011_0101}:       // SRC1 -> DEST i.e. ROUNDLi 
1281
                                                        new_op = {addr_nop,8'h1F, src_1,src_1l,1'b0,dest_x,             opera,  2'b11,2'b00,    4'h0};
1282
 
1283
        // +++++++++++++++++  SRC1 operand loading  +++++++++++++++++++
1284
 
1285
                // Phase 5 : wait for data and Disp2 for External addressing : part 2 EA = (MOD+4)+4*DISP1
1286
                //              next phase fix : 6
1287 11 ns32kum
 {8'h05,10'bxx_xxxx_xxxx}:       new_op = {exr11,   8'h06, src_x,imme , 1'b0,dest_x,             opera,  2'b00,2'b00,    4'b1111};
1288 9 ns32kum
                // Phase 6 : Memory-Pointer for Memory Relative and last access External
1289
                //              next phase fix : 7 , add Index
1290 11 ns32kum
 {8'h06,10'bxx_xxxx_xxxx}:       new_op = {exr12,   8'h07, irrw1,imme , 1'b0,dest_x,             opera,  2'b00,2'b00,    3'b111,atys[0]};
1291 9 ns32kum
 
1292
                // Phase 7 : wait for final data , direct from PHASE_0 if TOS without Index
1293
                //              next phase : if 8B data phase 8 is neccessary
1294
                // if SRC2=REG execution started (otherwise store data in TEMP) and PHASE_0
1295 11 ns32kum
 {8'h07,10'bxx_xx00_x0xx}:       // into Register , short operation execution , but LD_OUT for PSR Update ! dest_flag => WREN
1296 9 ns32kum
                                                        new_op = {addr_nop,endea, imme, src_2, dest_flag,dest_r,opera,  2'b00,2'b10,    diacb};
1297
 {8'h07,10'bxx_xx01_x0xx}:       // into Reg but with a step om between for ABSL etc. : phase 8
1298
                                                        new_op = {addr_nop,8'h08, imme, src_x, 1'b1,dest_r,             opera,  2'b00,2'b00,    4'h0};
1299
 {8'h07,10'bxx_xx10_x0xx}:       // execute long operation , wait in phase 31
1300
                                                        new_op = {addr_nop,8'h1F, imme, src_2, wlor,dest_r,             opera,  2'b11,2'b00,    4'h0};
1301 11 ns32kum
 {8'h07,10'bxx_xx11_xx0x}:       // execute long operation : 2. operand only Dest , load LSD , phase 24 , wait in phase 31
1302 9 ns32kum
                                                        new_op = {addr_nop,8'h18, imme, src_x, 1'b1,temp_l,             op_mov, 2'b01,2'b00,    4'h0};
1303
 {8'h07,10'bxx_xx11_x01x}:       // lange Operation ausfuehren , LSD laden , phase 25 , warten in phase 31
1304
                                                        new_op = {addr_nop,8'h19, imme, src_2, 1'b0,dest_r,             opera,  2'b01,2'b00,    4'h0};
1305
 {8'h07,10'bxx_xxx0_x11x}:       // Data into TEMP , read 2. operand , is there Index ? Yes -> phase 15
1306
                                                        new_op = idx_2[2] ?
1307
                                                                         {addr_nop,8'h0F, imme, src_x, 1'b1,temp_h,             op_mov, 2'b00,2'b00,    4'h0}
1308
                                                                   : {adrd2,   phrd2, imme, rega2, 1'b1,temp_h,         op_mov, 2'b00,2'b00,    nxrw2};
1309 11 ns32kum
 {8'h07,10'bxx_xxx1_x11x}:       // 8B data in TEMP , step in between then 2. Op read : phase 10 - can only be "long" operation
1310 9 ns32kum
                                                        new_op = {addr_nop,8'h0A, imme, src_x, 1'b1,temp_h,             op_mov, 2'b00,2'b00,    4'h0};
1311
 {8'h07,10'bxx_xx00_x101}:       // something like ABSi , execute and store (LD_OUT)
1312
                                                        new_op = idx_2[2] ?
1313
                                                                         {addr_nop,8'h10, imme, src_x, 1'b0,dest_x,             opera,  2'b00,2'b10,    4'h0}
1314
                                                                   : {adwr2,   phwr2, imme, rega2, 1'b0,dest_x,         opera,  2'b00,2'b10,    nxrw2};
1315 11 ns32kum
 {8'h07,10'bxx_xx01_x101}:       // ABS etc. : LSD data over SRC2 in 2. OUT-Reg , MSD data see opcode ABS/NEG/MOV , phase 9
1316 9 ns32kum
                                                        new_op = {addr_nop,8'h09, imme, src_x, 1'b0,dest_x,             opera,  2'b00,2'b10,    4'h0};
1317
 {8'h07,10'bxx_xx10_x101}:       // opcodes like MOVFL
1318
                                                        new_op = {addr_nop,8'h1F, imme, src_x, 1'b0,dest_x,             opera,  2'b11,2'b00,    4'h0};
1319
 
1320
                // Phase 8 : 2. part of 64 bit data : can be reached from PHASE_0 if 8B data
1321 11 ns32kum
 {8'h08,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,endea, quei1,src_x, 1'b1,dest_rl,    op_mov, 2'b00,2'b00,    diacb};
1322 9 ns32kum
                // Phase 9 : step in between to get data in OUT-Reg Low , SRC1 is not possible
1323
 {8'h09,10'bxx_xxxx_xxxx}:       // afterwards to data write
1324
                                                        new_op = {addr_nop,8'h10, src_x,imme , 1'b0,dest_x,             op_mov, 2'b00,2'b01,    4'h0};
1325
                // Phase 10 : LSD data write in TEMP , source can be IMME data to
1326
 {8'h0A,10'bxx_xxxx_xxxx}:       // 8B , after TEMP there can only be a 2. operand
1327
                                                        new_op = idx_2[2] ?
1328
                                                                         {addr_nop,8'h0F, imme, src_x, 1'b1,temp_l,             op_mov, 2'b00,2'b00,    4'h0}
1329
                                                                   : {adrd2,   phrd2, imme, rega2, 1'b1,temp_l,         op_mov, 2'b00,2'b00,    nxrw2};
1330
 
1331
                // Phase 11 : wait for 8B IMME data : switch over at address decoder , qword flag is for sure "1"
1332
 {8'h0B,10'bxx_xx0x_x0xx}:       // into Reg with step in between for ABSL etc. : phase 12
1333
                                                        new_op = {addr_nop,8'h0C, imme, src_x, 1'b1,dest_r,             opera,  2'b00,2'b00,    4'b1100};
1334
 {8'h0B,10'bxx_xx1x_x01x}:       // execute long operation , load LSD , phase 25 , wait in phase 31
1335
                                                        new_op = {addr_nop,8'h19, imme, src_2, 1'b0,dest_r,             opera,  2'b01,2'b00,    4'b1100};
1336 11 ns32kum
 {8'h0B,10'bxx_xxxx_x11x}:       // 8B data into TEMP , step in between then read 2. Op : phase 10 - can only be "long" operation
1337 9 ns32kum
                                                        new_op = {addr_nop,8'h0A, imme, src_x, 1'b1,temp_h,             op_mov, 2'b00,2'b00,    4'b1100};
1338 11 ns32kum
 {8'h0B,10'bxx_xx0x_x10x}:       // ABS etc. : LSD data via SRC2 into 2. OUT-Reg , MSD data see opcode ABS/NEG/MOV , phase 9
1339 9 ns32kum
                                                        new_op = {addr_nop,8'h09, imme, src_x, 1'b0,dest_x,             opera,  2'b00,2'b10,    4'b1100};
1340 11 ns32kum
 {8'h0B,10'bxx_xx1x_xx0x}:       // MOVLF with 8B IMME data ? Must be possible, the end in phase 24 like SRC1=MEM
1341 9 ns32kum
                                                        new_op = {addr_nop,8'h18, imme, src_x, 1'b1,temp_l,             op_mov, 2'b01,2'b00,    4'b1100};
1342
                // Phase 12 : wait for 2. part of 64 bit IMME data : after phase 0
1343 11 ns32kum
 {8'h0C,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,endea, imme ,src_x, 1'b1,dest_rl,    op_mov, 2'b00,2'b00,    diacb};
1344 9 ns32kum
 
1345
                // Phase 15 : secure in TEMP with Index continue and read 2. operand
1346 11 ns32kum
 {8'h0F,10'bxx_xxxx_xxxx}:       new_op = {adrd2,   phrd2, irrw2,rega2, 1'b0,dest_x,             opera,  2'b00,2'b00,    nxrw2};
1347 9 ns32kum
                // Phase 16 : after LD_OUT continue with Index and store 1. operand
1348 11 ns32kum
 {8'h10,10'bxx_xxxx_xxxx}:       new_op = {adwr2,   phwr2, irrw2,rega2, 1'b0,dest_x,             opera,  2'b00,2'b00,    nxrw2};
1349 9 ns32kum
 
1350
        // +++++++++++++++++  SRC2 operand loading : phase SRC1 + 16  +++++++++++++++++++
1351
 
1352
                // Phase 21 : wait for data and Disp2 for external addressing : part 2 EA = (MOD+4)+4*DISP1
1353
                //              next phase fix : 22
1354 11 ns32kum
 {8'h15,10'bxx_xxxx_xxxx}:       new_op = {exr11,   8'h16, src_x,imme , 1'b0,dest_x,             opera,  2'b00,2'b00,    4'b1111};
1355 9 ns32kum
                // Phase 22 : Memory-Pointer for Memory Relative and last access external
1356
                //              next phase fix : 23 , add Index
1357 11 ns32kum
 {8'h16,10'bxx_xxxx_xxxx}:       new_op = {exr22,   8'h17, irrw2,imme , 1'b0,dest_x,             opera,  2'b00,2'b00,    3'b111,atyd[0]};
1358 9 ns32kum
 
1359
                // Phase 23 : wait for final data , direct from PHASE_0 if TOS without Index
1360
                //              next phase : if 8B data phase 24 is used
1361 11 ns32kum
 {8'h17,10'bxx_xx0x_xxx1}:       // execute short operation and write data into memory , no WREN -> phase 39 ACC_DONE
1362 9 ns32kum
                                                        new_op = {re_wr,   8'h27, quet1,imme , 1'b0,dest_r,             opera,  2'b00,2'b10,    4'b0001};
1363
 {8'h17,10'bxx_xx0x_xxx0}:       // execute short operation , no WREN -> phase 0 , CMP(+TBIT)
1364
                                                        new_op = {addr_nop,endea, quet1,imme , 1'b0,dest_r,             opera,  2'b00,2'b10,    diacb};
1365
 {8'h17,10'bxx_xx10_xxxx}:       // execute long operation , wait in phase 31
1366
                                                        new_op = {addr_nop,8'h1F, quet1,imme , wlor,dest_r,             opera,  2'b11,2'b00,    4'h0};
1367
 {8'h17,10'bxx_xx11_xxxx}:       // execute long operation , load LSD in phase 24
1368
                                                        new_op = {addr_nop,8'h18, quet1,imme , 1'b0,dest_r,             opera,  2'b01,2'b00,    4'h0};
1369 11 ns32kum
                // Phase 24 : load 2. part of 64 bit data : with and without wait - from 28 the phase waits , from 23 not
1370 9 ns32kum
 {8'h18,10'bxx_xxxx_0xxx}:       // execute long operation , wait in phase 31
1371
                                                        new_op = {addr_nop,8'h1F, src_1l,imme, wlor,dest_r,             opera,  2'b10,2'b00,    4'h0};
1372 11 ns32kum
 {8'h18,10'bxx_xxxx_1xxx}:       // execute long operation , wait in phase 31 , data from TEMP, used also for ROUNDLi
1373 9 ns32kum
                                                        new_op = {addr_nop,8'h1F, rtmpl,imme,  wlor,dest_r,             opera,  2'b10,2'b00,    4'h0};
1374
                // Phase 25 : load 2. part of 64 bit data : SRC1 from memory and SRC2 from Reg
1375
 {8'h19,10'bxx_xxxx_xxxx}:       // execute long operation , wait in phase 31
1376
                                                        new_op = {addr_nop,8'h1F, imme, src_2l,wlor,dest_r,             opera,  2'b10,2'b00,    4'h0};
1377
                // Phase 26 : load 2. part of 64 bit data : SRC1 from Reg and SRC2 from Reg
1378
 {8'h1A,10'bxx_xxxx_xxxx}:       // execute long operation , wait in phase 31
1379
                                                        new_op = {addr_nop,8'h1F, src_1l,src_2l,wlor,dest_r,    opera,  2'b10,2'b00,    4'h0};
1380
 
1381
                // Phase 27 : wait for 8B IMME data : switch over at address decoder , qword flag is for sure "1"
1382
 {8'h1B,10'bxx_xxxx_xxxx}:       // execute long operation , load LSD in phase 24
1383
                                                        new_op = {addr_nop,8'h18, quet1,imme , 1'b0,dest_r,             opera,  2'b01,2'b00,    4'b1100};
1384
 
1385
        // +++++++++++++++++  special case  ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
1386
                // Phase 28 : TOS with 8B SRC1 operand , no Index ! Jump to phase 39
1387
 {8'h1C,10'bxx_xxxx_xxxx}:       // store Source 1 in Dest : "pass through" for MOV,NEG,ABS
1388
                                                        new_op = {adwr2,   phwr2, src_x,rega2, 1'b0,dest_x,             opera,  2'b00,2'b00,    nxrw2};
1389
        // +++++++++++++++++  close operation : write out DEST , TOS update  +++++++++++++++++++
1390
 
1391
                // Phase 31 : wait for DONE of long operation
1392
 {8'h1F,10'bxx_xxxx_xxx0}:       // CMP done -> phase 0
1393
                                                        new_op = {addr_nop,8'h00, src_x,src_x, 1'b0,dest_r,             opera,  2'b00,2'b10,    4'h0};  // no ACB
1394
 {8'h1F,10'bxx_xxxx_x0x1}:       // operation closed , data into register
1395
                                                        new_op = {addr_nop,8'h00, src_x,src_x, 1'b0,dest_r,             opera,  2'b00,2'b00,    4'h0};  // no ACB
1396 11 ns32kum
 {8'h1F,10'bxx_xxxx_x101}:       // operation closed , data into memory - first calculate address phase 32+x
1397 23 ns32kum
                                                        new_op = {adwr2,   phwr2, irrw2,rega2, 1'b0,dest_r,             opera,  2'b00,2'b10,    nxrw2};
1398 9 ns32kum
 {8'h1F,10'bxx_xxxx_x111}:       // operation closed , data into memory - address reuse phase 39 ACC_DONE
1399 23 ns32kum
                                                        new_op = {re_wr,   8'h27, src_x,src_x, 1'b0,dest_r,             opera,  2'b00,2'b10,    4'b0001};
1400 9 ns32kum
 
1401
                // Destination address calculate
1402
                // Phase 37 : wait for data and Disp2 for External addressing : part 2 EA = (MOD+4)+4*DISP1
1403
                //              next phase fix : 38
1404 11 ns32kum
 {8'h25,10'bxx_xxxx_xxxx}:       new_op = {exr11,   8'h26, src_x,imme , 1'b0,dest_x,             opera,  2'b00,2'b00,    4'b1111};
1405 9 ns32kum
                // Phase 38 : Memory-Pointer for Memory Relative and letzter Zugriff External
1406
                //              next phase fix : 39 , add Index and write
1407 11 ns32kum
 {8'h26,10'bxx_xxxx_xxxx}:       new_op = {exw22,   8'h27, irrw2,imme , 1'b0,dest_x,             opera,  2'b00,2'b00,    4'b1111};
1408 9 ns32kum
 
1409
                // Phase 39 : wait for ACC_DONE : consequent numbering : 7+32
1410
 {8'h27,10'bxx_xxxx_xxxx}:       // now operation closed , only ACB could follow
1411
                                                        new_op = {addr_nop,endea, src_x,src_x, 1'b0,dest_x,             opera,  2'b00,2'b00,    diacb};
1412
 
1413
        // +++++++++++++++ special case : ACB to Reg is to fast ! One wait cycle for ZERO-Flag
1414 11 ns32kum
 {8'h28,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'h01,src_x, src_x, 1'b0,dest_x,             opera,  2'b00,2'b00,    4'b1110};
1415 9 ns32kum
 
1416
        // +++++++++++++++  The other opcodes are following  ++++++++++++++++++
1417
 
1418 11 ns32kum
 {8'h00,10'b01_xxxx_xxxx}:       new_op = {new_addr,new_ph,new_regs,        1'b0,dest_x,         op_mov,                                 new_nx};        // 1 Byte Opcodes
1419 9 ns32kum
 
1420
                // Phase 1 : used for Bcond and ACB :
1421
 {8'h01,10'bxx_xxxx_xxxx}:       new_op = (ex_br_op[1] | jsr_flag) ?     // BSR or JSR ?
1422
                                                                         {push_op, 8'h27, imme, stack, 1'b0,dest_x,             op_mov, 2'b00,2'b10,    4'b0001}        // wait at end
1423
                                                                   : {addr_nop,8'h00, src_x,src_x, 1'b0,dest_x,         op_mov, 2'b00,2'b00,    4'h0};
1424
 
1425
                // Phase 42 : RET : read of PC from Stack and DIN via SRC1 to PC
1426 11 ns32kum
 {8'h2A,10'bxx_xxxx_xxxx}:  new_op = {adddisp, 8'h2B, imme, src_x, 1'b0,dest_x,          op_mov, 2'b00,2'b00,    4'hE};
1427
                // Phase 43 : RET : Displacement add to Stack. Attention : "imme" important to keep source constant for PC
1428
 {8'h2B,10'bxx_xxxx_xxxx}:  new_op = {save_sp, 8'h2C, imme, src_x, 1'b0,dest_x,          op_mov, 2'b00,2'b00,    4'h0};
1429 9 ns32kum
                // Phase 44 : RET : Update of Stack : fixed phase
1430 11 ns32kum
 {8'h2C,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'h00, src_x,src_x, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'h0};
1431 9 ns32kum
 
1432
                // Phase 45 : ENTER Entry
1433 11 ns32kum
 {8'h2D,10'bxx_xxxx_xxxx}:       new_op = {dispmin, 8'h2E, src_x,src_x, 1'b1,temp_l,             op_adr, 2'b00,2'b00,    4'hE};
1434 9 ns32kum
                // Phase 46 : ENTER Stack longer
1435 11 ns32kum
 {8'h2E,10'bxx_xxxx_xxxx}:       new_op = {save_sp ,8'h31, src_x,src_x, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'h0};
1436 9 ns32kum
                // Phase 48 : SAVE/ENTER : Init phase , phases 48 & 49 very similar
1437
 {8'h30,10'bxx_xxxx_xxxx}:       new_op = save_reg ?
1438
                                                                         {push_op, 8'h31, saver,stack, 1'b0,dest_x,             op_mov, 2'b00,2'b10,    4'h1}   // 1. load SP=>EA
1439 11 ns32kum
                                                                   : {addr_nop,8'h00, rtmpl,src_x,new_fp,frame[5:0],op_mov,      2'b00,2'b00,    4'h0};  // At ENTER FP Update
1440 9 ns32kum
                // Phase 49 : SAVE/ENTER : at the same time memory access and detection of next Reg
1441
 {8'h31,10'bxx_xxxx_xxxx}:       new_op = save_reg ?
1442
                                                                         {push_ea, 8'h31, saver,src_x, 1'b0,dest_x,             op_mov, 2'b00,2'b10,    4'h1}   // one more
1443 11 ns32kum
                                                                   : {addr_nop,8'h00, rtmpl,src_x,new_fp,frame[5:0],op_mov,      2'b00,2'b00,    4'h0};  // At ENTER FP Update
1444 9 ns32kum
 
1445
                // Phase 50 : RESTORE/EXIT Entry
1446
 {8'h32,10'bxx_xxxx_xxxx}:       new_op = save_reg ?
1447
                                                                         {pop_op,  8'h33, src_x,stack, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'h1}
1448
                                                                   : {pop_fp,  ppfp,  src_x,frame, 1'b0,dest_x,         op_mov, 2'b00,2'b00,    3'h0,new_fp};
1449
                // Phase 51 : RESTORE/EXIT next reg
1450
 {8'h33,10'bxx_xxxx_xxxx}:       new_op = save_reg ?
1451
                                                                         {next_po, 8'h33, imme, src_x, 1'b1,resto,              op_mov, 2'b00,2'b00,    4'h1}
1452
                                                                   : {pop_fp,  ppfp,  imme, frame, 1'b1,resto,          op_mov, 2'b00,2'b00,    3'h0,new_fp};
1453
                // Phase 52 : EXIT End
1454 11 ns32kum
 {8'h34,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'h00, imme, src_x, 1'b1,frame[5:0],  op_mov, 2'b00,2'b00,    4'h0};
1455 9 ns32kum
 
1456
                // Phase 53 : CXP Entry : this opcode needs 12 States and 16 cycles minimum ...
1457 11 ns32kum
 {8'h35,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'h36, imme, src_x, 1'b1,temp_h,             op_mov, 2'b00,2'b00,    4'h0};
1458 9 ns32kum
                // Phase 54 : CXP : Store Address Link table
1459 11 ns32kum
 {8'h36,10'bxx_xxxx_xxxx}:       new_op = {rdltab,  8'h37, src_x,rtmph, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'hE};  // EA Phase : DISP read
1460 9 ns32kum
                // Phase 55 : CXP : DISP is worked on, the return address => temp_l
1461 11 ns32kum
 {8'h37,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'h38, imme, rtmph, 1'b1,temp_l,             op_mov, 2'b00,2'b00,    4'h1};  // Access
1462 9 ns32kum
                // Phase 56 : CXP : Access to Link table => Result is MOD-Entry => store in temp_h
1463 11 ns32kum
 {8'h38,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'h39, imme, src_x, 1'b1,temp_h,             op_mov, 2'b00,2'b00,    4'h0};
1464 9 ns32kum
                // Phase 57 : CXP : store and PUSH MOD prepare , Entry from Exception Processing
1465 11 ns32kum
 {8'h39,10'bxx_xxxx_xxxx}:       new_op = {push_op, 8'h3A, modul,stack, 1'b0,dest_x,             op_wrp, 2'b00,2'b10,    4'h1};
1466 9 ns32kum
                // Phase 58 : CXP : PUSH of MOD ongoing, PUSH PC prepare
1467 11 ns32kum
 {8'h3A,10'bxx_xxxx_xxxx}:       new_op = {ea_push, 8'h3B, rtmpl,src_x, 1'b0,dest_x,             op_mov, 2'b00,2'b10,    4'h0};
1468 9 ns32kum
                // Phase 59 : CXP : New EA for PC
1469 11 ns32kum
 {8'h3B,10'bxx_xxxx_xxxx}:       new_op = {save_sp, 8'h3C, src_x,src_x, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'h1};
1470 9 ns32kum
                // Phase 60 : CXP : write of PC, calculate of Offset
1471 11 ns32kum
 {8'h3C,10'bxx_xxxx_xxxx}:       new_op = {rmod_8,  8'h3D, rtmph,rtmph, 1'b1,temp_l,             op_flip,2'b00,2'b00,    4'h1};
1472 9 ns32kum
                // Phase 61 : CXP : read from (MOD:New+8)
1473 11 ns32kum
 {8'h3D,10'bxx_xxxx_xxxx}:       new_op = {ea_min8, 8'h3E, imme, rtmpl, 1'b1,temp_l,             op_add, 2'b00,2'b00,    4'h0};  // Reuse of EA
1474 9 ns32kum
                // Phase 62 : CXP : EA Phase of SB read , new PC calculated
1475 11 ns32kum
 {8'h3E,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'h3F, rtmpl,src_x, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'h1};
1476 9 ns32kum
                // Phase 63 : CXP : read of SB , new PC to ICache
1477 11 ns32kum
 {8'h3F,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'h2F, imme, src_x, 1'b1,6'h1A,              op_mov, 2'b00,2'b00,    4'h0};  // SB load
1478 9 ns32kum
                // Phase 47 : CXP : Last phase update of MOD prepare
1479 11 ns32kum
 {8'h2F,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'h00, rtmph,src_x, 1'b1,modul[5:0],  op_mov, 2'b00,2'b00,    4'h0};  // MOD load
1480 9 ns32kum
 
1481
                // Phase 64 : RXP Entry : POP of PC , full Access
1482 11 ns32kum
 {8'h40,10'bxx_xxxx_xxxx}:       new_op = {pop_ru,  8'h41, imme, src_x, 1'b1,temp_h,             op_mov, 2'b00,2'b00,    4'h0};
1483 9 ns32kum
                // Phase 65 : RXP : PC is read, next POP prepare
1484 11 ns32kum
 {8'h41,10'bxx_xxxx_xxxx}:       new_op = {adddisp, 8'h42, src_x,src_x, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'hF};
1485 9 ns32kum
                // Phase 66 : RXP : DISP is addeed to Stack and MOD is read
1486 11 ns32kum
 {8'h42,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'h43, imme, src_x, 1'b1,modul[5:0],  op_mov, 2'b00,2'b00,    4'h0};
1487 9 ns32kum
                // Phase 67 : RXP : MOD is new
1488 11 ns32kum
 {8'h43,10'bxx_xxxx_xxxx}:       new_op = {rmod_rxp,8'h44, rtmph,modul, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'h1};
1489 9 ns32kum
                // Phase 68 : RXP : wait for SB data, parallel SP update
1490 11 ns32kum
 {8'h44,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'h00, imme, src_x, 1'b1,6'h1A,              op_mov, 2'b00,2'b00,    4'h0};  // SB load
1491 9 ns32kum
 
1492
                // Phase 69 : RETI : read of ICU for End-of-Interrupt Cycle , prepare read PC from Stack
1493 11 ns32kum
 {8'h45,10'bxx_xxxx_xxxx}:       new_op = {pop_op,  8'h46, src_x,stack, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'h1};
1494 9 ns32kum
                // Phase 70 : RETI/ RETT Entry : POP of PC , full Access
1495 11 ns32kum
 {8'h46,10'bxx_xxxx_xxxx}:       new_op = {pop_ru,  8'h47, imme, src_x, 1'b1,temp_h,             op_mov, 2'b00,2'b00,    4'h0};
1496 9 ns32kum
                // Phase 71 : RETI/RETT : PC is read, next POP prepare
1497 11 ns32kum
 {8'h47,10'bxx_xxxx_xxxx}:       new_op = {save_sp, 8'h48, src_x,src_x, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'h1};
1498 9 ns32kum
                // Phase 72 : RETI/RETT : DISP is added to Stack , PSR load and MOD is loaded if DE off
1499 11 ns32kum
 {8'h48,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'h49, imme, src_x, no_modul,                op_ldp, 2'b00,2'b00,    4'h0};
1500 9 ns32kum
                // Phase 73 : RETI/RETT : different paths
1501
 {8'h49,10'bxx_xxxx_xxxx}:       new_op = de_flag ?
1502
                                                                         ( reti_flag ?
1503
                                                                     {addr_nop,8'h4A, rtmph,src_x, 1'b0,dest_x,         op_mov, 2'b00,2'b00,    4'h0}
1504
                                                                   : {addr_nop,8'h4B, src_x,src_x, 1'b0,dest_x,         op_mov, 2'b00,2'b00,    4'h0} )
1505
                                                                   : {rmod_rtt,8'h4B, rtmph,modul, 1'b0,dest_x,         op_mov, 2'b00,2'b00,    4'h1};
1506
                // Phase 74 : RETI/RETT : one pause cycle if DE on
1507 11 ns32kum
 {8'h4A,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'h00, src_x,src_x, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'h0};
1508 9 ns32kum
                // Phase 75 : RETI/RETT : SB read if DE off
1509
 {8'h4B,10'bxx_xxxx_xxxx}:       new_op = reti_flag ?
1510
                                                                         {addr_nop,8'h00, imme, src_x, 1'b1,6'h1A,              op_mov, 2'b00,2'b00,    4'h0}
1511
                                                                   : ( de_flag ?
1512
                                                                     {adddispn,8'h4E, src_x,ttstak,1'b0,dest_x,         op_mov, 2'b00,2'b00,    4'hE}
1513
                                                                   : {adddispn,8'h4E, imme, ttstak,1'b1,6'h1A,          op_mov, 2'b00,2'b00,    4'hE} );
1514
                // Phase 78 : RETT : SP update
1515 11 ns32kum
 {8'h4E,10'bxx_xxxx_xxxx}:       new_op = {save_sp, 8'h4A, rtmph,src_x, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'h0};
1516 9 ns32kum
 
1517
        // +++++++++++++++  special wait states for PSR and the Cache/MMU system  +++++++++++
1518
 
1519
                // Phase 76 : PSR in Word case simple delay of 2 cycles : 1. cycle does nothing
1520 11 ns32kum
 {8'h4C,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'h4D, src_x,src_x, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'h0};
1521
                // Phase 77 : PSR in Word case simple delay of 2 cycles : 2. cycle does Restart of instruction processing
1522
 {8'h4D,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'h00, src_x,src_x, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'h0};
1523 9 ns32kum
                // Phase 79 : Wait for INIT_DONE from Cachesystem
1524
 {8'h4F,10'bxx_xxxx_xxxx}:       new_op = (INIT_DONE | no_init) ?
1525
                                                                         {addr_nop,8'h4D, src_x,src_x, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'h0}
1526
                                                                   : {addr_nop,8'h4F, src_x,src_x, 1'b0,dest_x,         op_mov, 2'b00,2'b00,    4'h0};
1527
 
1528
        // +++++++++++++++  Direct Exception procession similar to CXP  ++++++++++++++++++++
1529
 
1530
                // Phase 121 : CXP : store and PUSH PSR prepare , Entry of Exception Processing
1531 11 ns32kum
 {8'h79,10'bxx_xxxx_xxxx}:       new_op = {push_op, 8'h7A, modul,stack, 1'b0,dest_x,             op_wrp, 2'b00,2'b10,    4'h1};
1532 9 ns32kum
                // Phase 122 : CXP : PUSH of PSR running, PUSH PC prepare - MOD like normal Exception-Flow
1533 11 ns32kum
 {8'h7A,10'bxx_xxxx_xxxx}:       new_op = {ea_push, 8'h7B, rtmpl,src_x, 1'b0,dest_x,             op_mov, 2'b00,2'b10,    4'h0};
1534
                // Phase 123 : CXP : New EA for PC , Output of Interrupt-Vector and LOAD_PC generation, continue at standard exit
1535
 {8'h7B,10'bxx_xxxx_xxxx}:       new_op = {save_sp, 8'h4A, rtmph,src_x, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'h1};
1536 9 ns32kum
 
1537
        // +++++++++++++++  here comes the general Exception Processing  ++++++++++++++++++
1538
 
1539
                // Phase 0 : Entry with saving of PC_ARCHI and PSR
1540 11 ns32kum
 {8'h00,10'b11_xxxx_xxxx}:       new_op = {save_pc, 8'h80, src_x,src_x, 1'b0,dest_x,             op_psr, 2'b00,2'b00,    4'h0};
1541 9 ns32kum
                // Phase 128 : different paths to three cases
1542
 {8'h80,10'bxx_xxxx_xxxx}:       new_op = abo_int ?
1543
                                                                         {ai_next[30:4],  src_x,src_x, 1'b1,temp_l,             op_adr, 2'b00,2'b00,    ai_next[3:0]}
1544
                                                                   : {get_vec, 8'h81, src_x,ibase, 1'b1,temp_l,         op_adr, 2'b00,2'b00,    4'h1};
1545
                // Phase 129 : read of Exception-Vectors and store in TEMP_H , then continue at CXP if DE off
1546
 {8'h81,10'bxx_xxxx_xxxx}:       new_op = de_flag ?
1547
                                                                         {addr_nop,8'h79, imme, src_x, 1'b1,temp_h,             op_mov, 2'b00,2'b00,    4'h0}
1548
                                                                   : {addr_nop,8'h39, imme, src_x, 1'b1,temp_h,         op_mov, 2'b00,2'b00,    4'h0};
1549
                // Phase 130 : read of Interrupt-Vectors, Zero-Extension of Byte => TEMP_H
1550 11 ns32kum
 {8'h82,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'h83, imme, src_x, 1'b1,temp_h,             op_zex, 2'b00,2'b00,    4'h0};
1551 9 ns32kum
                // Phase 131 : access of Exception-Vector
1552
 {8'h83,10'bxx_xxxx_xxxx}:       new_op = (type_nmi | ~ivec_flag) ?      // NMI or non-vectored INT ?
1553
                                                                         {get_vec, 8'h81, src_x,ibase, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'h1}
1554
                                                                   : {get_veci,8'h81, rtmph,ibase, 1'b0,dest_x,         op_mov, 2'b00,2'b00,    4'h1};
1555
 
1556
                // Phase 132 : ABORT : store TEAR
1557 11 ns32kum
 {8'h84,10'bxx_xxxx_xxxx}:       new_op = {save_msr,8'h85, src_x,src_x, 1'b1,w_tear,             op_adr, 2'b00,2'b00,    4'h0};
1558 9 ns32kum
                // Phase 133 : store MSR
1559
 {8'h85,10'bxx_xxxx_xxxx}:       new_op = (ssrc_flag | sdest_flag) ?
1560
                                                                         {addr_nop,rrepa, src_x,src_x, 1'b1,w_msr,              op_adr, 2'b00,2'b00,    4'h0}
1561
                                                                   : {get_vec ,8'h81, src_x,ibase, 1'b1,w_msr,          op_adr, 2'b00,2'b00,    4'h1};
1562
                // Phase 134 : reload of pointers for string opcodes : R2 Dest
1563 11 ns32kum
 {8'h86,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'h87, rtmp1,src_x, 1'b1,6'h02,              op_mov, 2'b00,2'b00,    4'h0};
1564 9 ns32kum
                // Phase 135 : reload of pointers for string opcodes : R1 Source
1565 11 ns32kum
 {8'h87,10'bxx_xxxx_xxxx}:       new_op = {get_vec ,8'h81, rtmph,ibase, 1'b1,6'h01,              op_mov, 2'b00,2'b00,    4'h1};
1566 9 ns32kum
 
1567
        // +++++++++++++++++  WAIT  +++++++++++++++++++++++++++++++++
1568
 {8'h88,10'bxx_xxxx_xxxx}:       new_op = interrupt ?
1569
                                                                         {addr_nop,8'h00, src_x,src_x, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'h0}   // wait ...
1570
                                                                   : {addr_nop,8'h88, src_x,src_x, 1'b0,dest_x,         op_mov, 2'b00,2'b00,    4'h0};  // Loop
1571
 
1572
        // +++++++++++++++++  FLAG  +++++++++++++++++++++++++++++++++
1573
 {8'h89,10'bxx_xxxx_xxxx}:       new_op = flag ?
1574
                                                                         {save_pc, 8'h80, src_x,src_x, 1'b0,dest_x,             op_psr, 2'b00,2'b00,    4'h0}   // TRAP
1575
                                                                   : {addr_nop,8'h00, src_x,src_x, 1'b0,dest_x,         op_mov, 2'b00,2'b00,    4'h0};  // continue
1576
 
1577
        // +++++++++++++++++  The Opcodes of Gruppe 2  +++++++++++++++
1578
 
1579
 {8'h00,10'b10_0xxx_xxxx}:       new_op = state_0;
1580
        // Now the case with Index , the Long Operand is copied to OUT
1581 11 ns32kum
 {8'h00,10'b10_1xxx_xxxx}:       new_op = {addr_nop,8'h50, src_1,src_1l,1'b0,dest_x,             opera,  2'b00,~src2_flag,2'b1_1,n_idx,1'b0};
1582 9 ns32kum
 
1583
 {8'h5x,10'bxx_xxxx_xxxx}:       new_op = state_group_50;        // Gruppe 2 Opcodes
1584
 {8'h6x,10'bxx_xxxx_xxxx}:       new_op = state_group_60;        // Gruppe 2 Opcodes
1585
 
1586
 // that is only for CVTP :
1587 11 ns32kum
 {8'h73,10'bxx_xxxx_x0xx}:       new_op = {addr_nop,8'h00, src_x,src_x, 1'b1,dest_r,             op_adr, 2'b00,2'b00,    4'h0};
1588
 {8'h73,10'bxx_xxxx_x1xx}:       new_op = {adwr2,   phwr2, irrw2,rega2, 1'b0,dest_x,             op_adr, 2'b00,2'b10,    nxrw2};
1589 9 ns32kum
 
1590
 // that is only for LMR and CINV :
1591
 {8'h74,10'bxx_xxxx_xxxx}:       new_op = (IC_READ | STOP_CINV) ?
1592
                                                                         {ivar_adr,8'h74, rtmph,src_x, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'h0}   // wait ...
1593
                                                                   : {ivar_adr,8'h75, rtmph,src_x, 1'b1,lmrreg,         op_lmr, 2'b00,2'b00,    4'h0};  // continue
1594 11 ns32kum
 {8'h75,10'bxx_xxxx_xxxx}:       new_op = {ivar_adr,8'h4F, rtmph,src_x, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'h0};
1595 9 ns32kum
 
1596
        // +++++++++++++++++  The String Opcodes  +++++++++++++++++++++
1597
 
1598
                // Phase 192 : R0=0 ?
1599
 {8'hC0,10'bxx_xxxx_xxxx}:       new_op = STRING[2] ?    // Is R0<>0 ?
1600
                                                                         {st_src,  ph_str,rstr1,rstr1, ~kurz_st,temp_h, op_mov, 2'b00,2'b00,    4'h0}
1601
                                                                   : {addr_nop,8'h00, src_x,src_x, 1'b0,dest_x,         op_mov, 2'b00,2'b00,    4'h0};
1602
                // Phase 193 : 1. part read of SRC-Register => EA
1603 11 ns32kum
 {8'hC1,10'bxx_xxxx_xxxx}:       new_op = {st_len,  8'hC2, src_x,src_x, 1'b1,wstr1,              op_adr, 2'b00,2'b00,    4'h1};
1604 9 ns32kum
                // Phase 194 : memory operation : read
1605
 {8'hC2,10'bxx_xxxx_xxxx}:       new_op = mt_flag ?
1606
                                                                         {addr_nop,8'hD3, imme, src_x, 1'b1,temp_2, (op_feld_reg[14] ? op_zex : op_mov),
1607
                                                                                                                                                                                        2'b00,2'b00,    4'h0}
1608
                                                                   : {load_ea, 8'hC3, imme, rstr2, 1'b0,dest_x,         op_mov, 2'b00,2'b10,    4'h0};
1609
                // Phase 195 : Data in output register and at the same time R2 to EA
1610 11 ns32kum
 {8'hC3,10'bxx_xxxx_xxxx}:       new_op = {st_dest, 8'hC4, rstr2,imme,  ~kurz_st,temp_1, op_mov, 2'b00,2'b01,    4'h0};
1611 9 ns32kum
                // Phase 196 : 1. part reuse EA and LSD of 8B data to Out-Register
1612 11 ns32kum
 {8'hC4,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'hC5, src_x,src_x, 1'b1,wstr2,              op_adr, 2'b00,2'b00,    4'h1};
1613 9 ns32kum
                // Phase 197 : memory operation : write
1614 11 ns32kum
 {8'hC5,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'hC7, rstr0,src_x, 1'b1,wstr0,              op_str, 2'b00,2'b00,    4'h0};
1615 9 ns32kum
                // Phase 199 : Test for End and Interrupt
1616
 {8'hC7,10'bxx_xxxx_xxxx}:       new_op = (interrupt & ~kurz_st) ?
1617
                                                                         {save_pc, 8'h80, src_x,src_x, 1'b0,dest_x,             op_psr, 2'b00,2'b00,    4'h0}   // Interrupt !
1618
                                                                   : ( STRING[2] ?      // Is R0<>0 ?
1619
                                                                         {st_src,  ph_str,rstr1,rstr1, ~kurz_st,temp_h, op_mov, 2'b00,2'b00,    4'h0}
1620
                                                                   : {addr_nop,8'h00, src_x,src_x, 1'b0,dest_x,         op_mov, 2'b00,2'b00,    4'h0} );
1621
 // String Compare :
1622
                // Phase 201 : 1. part read of SRC-Register => EA
1623 11 ns32kum
 {8'hC9,10'bxx_xxxx_xxxx}:       new_op = {st_len,  8'hCA, src_x,src_x, 1'b1,wstr1,              op_adr, 2'b00,2'b00,    4'h1};
1624 9 ns32kum
                // Phase 202 : memory operation : read
1625
 {8'hCA,10'bxx_xxxx_xxxx}:       new_op = mt_flag ?
1626
                                                                         {addr_nop,8'hDB, imme, src_x, 1'b1,temp_2, (op_feld_reg[14] ? op_zex : op_mov),
1627
                                                                                                                                                                                        2'b00,2'b00,    4'h0}
1628
                                                                   : ( skps_flag ?      // SKPS read only String1
1629
                                                                     {addr_nop,8'hC7, rstr0,src_x, 1'b1,wstr0,          op_str, 2'b00,2'b00,    4'h0}
1630
                                                                   : {load_ea, 8'hCB, imme, rstr2, 1'b1,temp_2,         op_mov, 2'b00,2'b00,    4'h0} );
1631
                // Phase 203 : Data to output register and at the same time R2 to EA
1632 11 ns32kum
 {8'hCB,10'bxx_xxxx_xxxx}:       new_op = {st_src2, 8'hCC, rstr2,src_x, ~kurz_st,temp_1, op_mov, 2'b00,2'b00,    4'h0};
1633 9 ns32kum
                // Phase 204 : 1. part reuse EA 
1634 11 ns32kum
 {8'hCC,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'hCD, src_x,src_x, 1'b1,wstr2,              op_adr, 2'b00,2'b00,    4'h1};
1635 9 ns32kum
                // Phase 205 : memory operation : read and prepare compare
1636 11 ns32kum
 {8'hCD,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'hCE, rtmp2,imme,  1'b0,dest_x,             op_scp, 2'b00,2'b10,    4'h0};
1637 9 ns32kum
                // Phase 206 : compare of data
1638 11 ns32kum
 {8'hCE,10'bxx_xxxx_xxxx}:       new_op = STRING[3] ?    // Elements equal ? Same as ACB_ZERO without delay of 1 cycle
1639 9 ns32kum
                                                                     {addr_nop,8'hC7, rstr0,src_x, 1'b1,wstr0,          op_str, 2'b00,2'b00,    4'h0}
1640
                                                                   : ( kurz_st ?        // at CMPM direct end
1641
                                                                         {addr_nop,8'h00, src_x,src_x, 1'b0,dest_x,             op_mov, 2'b00,2'b00,    4'h0}
1642
                                                                   : {addr_nop,8'hC8, rtmph,src_x, 1'b1,6'h01,          op_mov, 2'b00,2'b00,    4'h0} );
1643
                // Phase 200 : reload of R1 at CMPS, prepare reload of R2
1644 11 ns32kum
 {8'hC8,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'h00, rtmp1,src_x, 1'b1,6'h02,              op_mov, 2'b00,2'b00,    4'h0};
1645 9 ns32kum
 // String Options Match and Translate for MOVS
1646
                // Phase 211 : Test if Translate
1647
 {8'hD3,10'bxx_xxxx_xxxx}:       new_op = op_feld_reg[14] ?      // Translate ? Translate Base is Register 3
1648
                                                                     {st_trans,8'hD4, rtmp2,7'h03, 1'b0,dest_x,         op_mov, 2'b00,2'b00,    4'h1}
1649
                                                                   : {addr_nop,8'hD7, rtmp2,7'h04, 1'b0,dest_x,         op_scp, 2'b00,2'b10,    4'h0};  // Match
1650
                // Phase 212 : memory operation : read
1651 11 ns32kum
 {8'hD4,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'hD5, imme, src_x, 1'b1,temp_2,             op_mov, 2'b00,2'b10,    4'h0};
1652 9 ns32kum
                // Phase 213 : Test if Match
1653
 {8'hD5,10'bxx_xxxx_xxxx}:       new_op = op_feld_reg[16] ?      // Match ? Reference Value is Register 4
1654
                                                                     {addr_nop,8'hD7, rtmp2,7'h04, 1'b0,dest_x,         op_scp, 2'b00,2'b10,    4'h0}
1655
                                                                   : {st_trde, 8'hC4, 7'h02,7'h02, 1'b1,temp_1,         op_mov, 2'b00,2'b00,    4'h0};  // back to MOVS
1656
                // Phase 215 : Match result evaluation
1657 11 ns32kum
 {8'hD7,10'bxx_xxxx_xxxx}:       new_op = (STRING[3] ^ op_feld_reg[17]) ?        // Not equal? (op_feld_reg[17] = 1 = UNTIL)
1658 9 ns32kum
                                                                     {load_ea, 8'hC3, rtmp2,7'h02, 1'b0,dest_x,         op_mov, 2'b00,2'b10,    4'h0}   // back to MOVS
1659 11 ns32kum
                                                                   : {addr_nop,8'h00, rtmph,src_x, 1'b1,6'h01,          op_mov, 2'b00,2'b00,    4'h0};  // Abort, R1 back
1660 9 ns32kum
 // String Options Match and Translate for CMPS and SKPS - to many options to get it in one state
1661
                // Phase 218 : Test if Translate
1662
 {8'hDB,10'bxx_xxxx_xxxx}:       new_op = op_feld_reg[14] ?      // Translate ? Translate Base is Register 3
1663
                                                                     {st_trans,8'hDC, rtmp2,7'h03, 1'b0,dest_x,         op_mov, 2'b00,2'b00,    4'h1}
1664
                                                                   : {addr_nop,8'hDF, rtmp2,7'h04, 1'b0,dest_x,         op_scp, 2'b00,2'b10,    4'h0};  // Match
1665
                // Phase 220 : memory operation : read
1666 11 ns32kum
 {8'hDC,10'bxx_xxxx_xxxx}:       new_op = {addr_nop,8'hDD, imme, src_x, 1'b1,temp_2,             op_mov, 2'b00,2'b10,    4'h0};
1667 9 ns32kum
                // Phase 221 : Test if Match
1668
 {8'hDD,10'bxx_xxxx_xxxx}:       new_op = op_feld_reg[16] ?      // Match ? Reference value is Register 4
1669
                                                                     {addr_nop,8'hDF, rtmp2,7'h04, 1'b0,dest_x,         op_scp, 2'b00,2'b10,    4'h0}
1670
                                                                   : ( skps_flag ?      // SKPS read only String1
1671
                                                                     {addr_nop,8'hC7, 7'h00,src_x, 1'b1,6'h00,          op_str, 2'b00,2'b00,    4'h0}   // back to SKPS
1672 11 ns32kum
                                                                   : {st_trs2, 8'hCC, 7'h02,7'h02, 1'b1,temp_1,         op_mov, 2'b00,2'b00,    4'h0}); // back to CMPS
1673 9 ns32kum
                // Phase 223 : Match result evaluation
1674 11 ns32kum
 {8'hDF,10'bxx_xxxx_xxxx}:       new_op = (STRING[3] ^ op_feld_reg[17]) ?        // Not equal? (op_feld_reg[17] = 1 = UNTIL)
1675 9 ns32kum
                                                                     ( skps_flag ?      // SKPS read only String1
1676
                                                                     {addr_nop,8'hC7, 7'h00,src_x, 1'b1,6'h00,          op_str, 2'b00,2'b00,    4'h0}   // back to SKPS
1677 11 ns32kum
                                                                   : {st_trs2, 8'hCC, 7'h02,7'h02, 1'b1,temp_1,         op_mov, 2'b00,2'b00,    4'h0} ) // back to CMPS
1678
                                                                   : {addr_nop,8'h00, rtmph,src_x, 1'b1,6'h01,          op_mov, 2'b00,2'b00,    4'h0};  // Abort, R1 back
1679 9 ns32kum
 
1680
                  default                :  new_op = 67'hx_xxxx_xxxx_xxxx_xxxx;
1681
                endcase
1682
 
1683
        // ++++++++++++++++++++++++  Deliver data of state machine  ++++++++++++++++++++++++++++
1684
 
1685
        // not all new_op bits are evaluated here ...
1686
 
1687
        always @(posedge BCLK or negedge BRESET)
1688
                if (!BRESET) ACC_FELD[11:10] <= 2'b00;  // RD WR
1689
                  else if (next) ACC_FELD[11:10] <= new_op[64:63];
1690
 
1691
        always @(posedge BCLK or negedge BRESET)
1692
                if (!BRESET) spupd_i <= 1'b0;   // Stack Pointer Update
1693
                  else if (next) spupd_i <= new_op[56];
1694
 
1695
        always @(posedge BCLK or negedge BRESET)
1696
                if (!BRESET) oper_i <= 11'b0;
1697
                  else if (next) oper_i <= new_op[18:8];
1698
 
1699
        always @(posedge BCLK)
1700
                if (next)
1701
                  begin
1702
                        ACC_FELD[13:12] <=  new_op[66:65];                                      // ASIZE[1:0]
1703
                        ACC_FELD[8:0]   <= {new_op[61:57],new_op[51:48]};        // FULLACC INDEX[3:0] POST CLRMSW SRC2SEL[1:0]
1704
                    disp_sel <= new_op[55:52];
1705
                        wradr_i  <= new_op[24:19];
1706
                  end
1707
 
1708
        always @(posedge BCLK) wmaske_i <= src2_le;     // to simple ?
1709
 
1710
        always @(posedge BCLK) index_cmd <= (phase_reg == 8'h60);       // that only for INDEX
1711
 
1712 11 ns32kum
        // WMASKE : SP always 32 Bit, opcodes in Format 1, Reg-Nr. >31 , INDEX opcodes and the CHECK operand too
1713
        assign WMASKE = {(spupd | format1 | wradr_i[5] | wmaske_i[1] | index_cmd | (oper_i[7:0] == 8'h83)),wmaske_i[0]};
1714 9 ns32kum
        assign WRADR  = spupd ? {~stack[5],stack[4:0]} : wradr_i;
1715
        assign WREN   = (spupd | wren_i) & no_trap;
1716 23 ns32kum
        assign START  = no_trap ? start_i : 2'b0;
1717 9 ns32kum
        assign OPER   = spupd ? op_adr                             : oper_i;
1718
 
1719 11 ns32kum
        always @(posedge BCLK) ACC_FELD[14] <= next & (new_op[64] | new_op[63] | new_op[62]);   // NEWACC is important
1720 9 ns32kum
        always @(posedge BCLK) ACC_FELD[9]  <= next & new_op[62];       // LDEA is only one pulse
1721
 
1722 23 ns32kum
        always @(posedge BCLK) start_i <= next ? new_op[7:6] : 2'b00;
1723
        always @(posedge BCLK) ldoreg  <= next ? new_op[5:4] : 2'b00;   // [1] = LD_OUT , [0] = LD_LDQ
1724
        always @(posedge BCLK) wren_i  <= next & new_op[25] & ~new_op[7];       // only if no START[1] from Long-Op
1725 9 ns32kum
 
1726
        assign LD_OUT = {(ldoreg[1] & no_trap),ldoreg[0]};       // [1] = LD_OUT (for CMP too) , [0] = LD_LDQ
1727
 
1728 11 ns32kum
        assign spupd = spupd_i & ~wren_i & ~ldoreg[1] & ~spu_block;     // no Stack Update if OUT Register load or already Write-Register
1729 9 ns32kum
 
1730
        assign do_long = new_op[7];             // START[1] for long_reg
1731
 
1732
        assign RDAA = {next,new_op[39:33]};     // Source 1
1733
        assign RDAB = {next,new_op[32:26]};     // Source 2
1734
 
1735 11 ns32kum
        always @(posedge BCLK) if (next) WR_REG = new_op[25] & new_op[7];       // START[1] : if WR then LONG path has register as Destination
1736 9 ns32kum
 
1737
        // special case : example is POLYL F2,TOS
1738
        always @(posedge BCLK) spu_block <= DONE & WR_REG;
1739
 
1740
        assign MMU_UPDATE[1] = (phase_reg == 8'h84) | (phase_reg == 8'h85);     // serving the MMU at ABORT
1741
 
1742
        // only the real access gets USER Status : important for Memory Relative & External
1743
        always @(posedge BCLK)                                                                          //              MOVUS                                   MOVSU                   RDVAL/WRVAL
1744 11 ns32kum
                if (ACC_FELD[14]) dc_user <= PSR[8] | (m_ussu & (m_usel ? (phase_reg == 8'h07) : (phase_reg == 8'h27))) | RWVAL[1];
1745 9 ns32kum
                        else dc_user <= dc_user & ~abort;
1746
 
1747
        always @(posedge BCLK) dc_ilo <= op_ilo &  (phase_reg == 8'h59);
1748
        always @(posedge BCLK) ILO    <= op_ilo & ((phase_reg == 8'h59) | (phase_reg == 8'h27));
1749
 
1750 11 ns32kum
        assign RWVAL = {dc_ilo,(rwval_flag & (phase_reg == 8'h53)),wrval_flag}; // is used for DCACHE ILO too
1751 9 ns32kum
 
1752
        // Signals for the I_PATH + Debug
1753 11 ns32kum
        assign DETOIP   = {pc_match,cmps_flag,ph_match,op_feld_reg[17],kill_opt,inss_op,exin_cmd,extract,bit_reg,kurz_st,dw_info,acb_reg,t2p};
1754 9 ns32kum
 
1755
        // Signals for the ADDR_UNIT : [5]=RMW Signal
1756 11 ns32kum
        assign chk_rmw  = (phase_reg == 8'h17) | (phase_reg == 8'h58) | ((phase_reg == 8'h59) & rw_bit);        // right Gruppe 2 opcodes
1757
        assign INFO_AU  = {no_trap,chk_rmw,(op_feld_reg[40:39] == 2'b11),RWVAL[1],(a_ivar & ~IC_READ),dc_user,disp_ok};
1758 9 ns32kum
 
1759
        assign RESTART = (phase_reg == 8'h4D);
1760
 
1761
        // Signals to generate external STATUS
1762
        assign GENSTAT[2] = (phase_reg == 8'h88);       // WAIT Signal
1763
        assign GENSTAT[1] = (phase_reg == 8'h82);       // Interrupt Acknowlege Cycle
1764
        assign GENSTAT[0] = (phase_reg == 8'h45);        // End-of-Interrupt Cycle
1765
 
1766
        // ++++++++++++++++++++ Here is the Sub-Modul for the opcodes of Gruppe 2  ++++++++++++++++
1767
 
1768 14 ns32kum
        GRUPPE_2 reste_ops (.BCLK(BCLK), .PHASE_0(PHASE_0), .OPREG(OPREG[18:0]), .PHASE(phase_ein[3:0]),
1769 9 ns32kum
                                                .SRC_1(src_1), .SRC_2(src_2), .REGA1(rega1), .REGA2(rega2), .IRRW1(irrw1), .IRRW2(irrw2),
1770 11 ns32kum
                                                .ADRD1(adrd1), .ADRD2(adrd2), .EXR12(exr12), .EXR22(exr22), .PHRD1(phrd1[3:0]), .PHRD2(phrd2[3:0]),
1771 9 ns32kum
                                                .NXRD1(nxrd1), .NXRW2(nxrw2), .ACCA({acc1,1'b0,acc2,1'b0}), .OPERA(opera),
1772
                                                .STATE_0(state_0), .STATE_GROUP_50(state_group_50), .STATE_GROUP_60(state_group_60) );
1773
 
1774
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.