OpenCores
URL https://opencores.org/ocsvn/mac_layer_switch/mac_layer_switch/trunk

Subversion Repositories mac_layer_switch

[/] [mac_layer_switch/] [trunk/] [rtl/] [verilog/] [ethmac.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ranm11
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  ethmac.v                                                    ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6
////  http://www.opencores.org/project,ethmac                     ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Igor Mohor (igorM@opencores.org)                      ////
10
////                                                              ////
11
////  All additional information is available in the Readme.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
//////////////////////////////////////////////////////////////////////
15
////                                                              ////
16
//// Copyright (C) 2001, 2002 Authors                             ////
17
////                                                              ////
18
//// This source file may be used and distributed without         ////
19
//// restriction provided that this copyright statement is not    ////
20
//// removed from the file and that any derivative work contains  ////
21
//// the original copyright notice and the associated disclaimer. ////
22
////                                                              ////
23
//// This source file is free software; you can redistribute it   ////
24
//// and/or modify it under the terms of the GNU Lesser General   ////
25
//// Public License as published by the Free Software Foundation; ////
26
//// either version 2.1 of the License, or (at your option) any   ////
27
//// later version.                                               ////
28
////                                                              ////
29
//// This source is distributed in the hope that it will be       ////
30
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
31
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
32
//// PURPOSE.  See the GNU Lesser General Public License for more ////
33
//// details.                                                     ////
34
////                                                              ////
35
//// You should have received a copy of the GNU Lesser General    ////
36
//// Public License along with this source; if not, download it   ////
37
//// from http://www.opencores.org/lgpl.shtml                     ////
38
////                                                              ////
39
//////////////////////////////////////////////////////////////////////
40
//
41
// 2011-08-09 olof@opencores.org
42
// Renamed from eth_top.v to ethmac.v to better fit into the OpenCores
43
// Structure
44
//
45
// CVS Revision History
46
//
47
// $Log: not supported by cvs2svn $
48
// Revision 1.51  2005/02/21 11:13:17  igorm
49
// Defer indication fixed.
50
//
51
// Revision 1.50  2004/04/26 15:26:23  igorm
52
// - Bug connected to the TX_BD_NUM_Wr signal fixed (bug came in with the
53
//   previous update of the core.
54
// - TxBDAddress is set to 0 after the TX is enabled in the MODER register.
55
// - RxBDAddress is set to r_TxBDNum<<1 after the RX is enabled in the MODER
56
//   register. (thanks to Mathias and Torbjorn)
57
// - Multicast reception was fixed. Thanks to Ulrich Gries
58
//
59
// Revision 1.49  2003/11/12 18:24:59  tadejm
60
// WISHBONE slave changed and tested from only 32-bit accesss to byte access.
61
//
62
// Revision 1.48  2003/10/17 07:46:16  markom
63
// mbist signals updated according to newest convention
64
//
65
// Revision 1.47  2003/10/06 15:43:45  knguyen
66
// Update RxEnSync only when mrxdv_pad_i is inactive (LOW).
67
//
68
// Revision 1.46  2003/01/30 13:30:22  tadejm
69
// Defer indication changed.
70
//
71
// Revision 1.45  2003/01/22 13:49:26  tadejm
72
// When control packets were received, they were ignored in some cases.
73
//
74
// Revision 1.44  2003/01/21 12:09:40  mohor
75
// When receiving normal data frame and RxFlow control was switched on, RXB
76
// interrupt was not set.
77
//
78
// Revision 1.43  2002/11/22 01:57:06  mohor
79
// Rx Flow control fixed. CF flag added to the RX buffer descriptor. RxAbort
80
// synchronized.
81
//
82
// Revision 1.42  2002/11/21 00:09:19  mohor
83
// TPauseRq synchronized to tx_clk.
84
//
85
// Revision 1.41  2002/11/19 18:13:49  mohor
86
// r_MiiMRst is not used for resetting the MIIM module. wb_rst used instead.
87
//
88
// Revision 1.40  2002/11/19 17:34:25  mohor
89
// AddressMiss status is connecting to the Rx BD. AddressMiss is identifying
90
// that a frame was received because of the promiscous mode.
91
//
92
// Revision 1.39  2002/11/18 17:31:55  mohor
93
// wb_rst_i is used for MIIM reset.
94
//
95
// Revision 1.38  2002/11/14 18:37:20  mohor
96
// r_Rst signal does not reset any module any more and is removed from the design.
97
//
98
// Revision 1.37  2002/11/13 22:25:36  tadejm
99
// All modules are reset with wb_rst instead of the r_Rst. Exception is MII module.
100
//
101
// Revision 1.36  2002/10/18 17:04:20  tadejm
102
// Changed BIST scan signals.
103
//
104
// Revision 1.35  2002/10/11 13:36:58  mohor
105
// Typo error fixed. (When using Bist)
106
//
107
// Revision 1.34  2002/10/10 16:49:50  mohor
108
// Signals for WISHBONE B3 compliant interface added.
109
//
110
// Revision 1.33  2002/10/10 16:29:30  mohor
111
// BIST added.
112
//
113
// Revision 1.32  2002/09/20 17:12:58  mohor
114
// CsMiss added. When address between 0x800 and 0xfff is accessed within
115
// Ethernet Core, error acknowledge is generated.
116
//
117
// Revision 1.31  2002/09/12 14:50:17  mohor
118
// CarrierSenseLost bug fixed when operating in full duplex mode.
119
//
120
// Revision 1.30  2002/09/10 10:35:23  mohor
121
// Ethernet debug registers removed.
122
//
123
// Revision 1.29  2002/09/09 13:03:13  mohor
124
// Error acknowledge is generated when accessing BDs and RST bit in the
125
// MODER register (r_Rst) is set.
126
//
127
// Revision 1.28  2002/09/04 18:44:10  mohor
128
// Signals related to the control frames connected. Debug registers reg1, 2, 3, 4
129
// connected.
130
//
131
// Revision 1.27  2002/07/25 18:15:37  mohor
132
// RxAbort changed. Packets received with MRxErr (from PHY) are also
133
// aborted.
134
//
135
// Revision 1.26  2002/07/17 18:51:50  mohor
136
// EXTERNAL_DMA removed. External DMA not supported.
137
//
138
// Revision 1.25  2002/05/03 10:15:50  mohor
139
// Outputs registered. Reset changed for eth_wishbone module.
140
//
141
// Revision 1.24  2002/04/22 14:15:42  mohor
142
// Wishbone signals are registered when ETH_REGISTERED_OUTPUTS is
143
// selected in eth_defines.v
144
//
145
// Revision 1.23  2002/03/25 13:33:53  mohor
146
// md_padoen_o changed to md_padoe_o. Signal was always active high, just
147
// name was incorrect.
148
//
149
// Revision 1.22  2002/02/26 16:59:54  mohor
150
// Small fixes for external/internal DMA missmatches.
151
//
152
// Revision 1.21  2002/02/26 16:21:00  mohor
153
// Interrupts changed in the top file
154
//
155
// Revision 1.20  2002/02/18 10:40:17  mohor
156
// Small fixes.
157
//
158
// Revision 1.19  2002/02/16 14:03:44  mohor
159
// Registered trimmed. Unused registers removed.
160
//
161
// Revision 1.18  2002/02/16 13:06:33  mohor
162
// EXTERNAL_DMA used instead of WISHBONE_DMA.
163
//
164
// Revision 1.17  2002/02/16 07:15:27  mohor
165
// Testbench fixed, code simplified, unused signals removed.
166
//
167
// Revision 1.16  2002/02/15 13:49:39  mohor
168
// RxAbort is connected differently.
169
//
170
// Revision 1.15  2002/02/15 11:38:26  mohor
171
// Changes that were lost when updating from 1.11 to 1.14 fixed.
172
//
173
// Revision 1.14  2002/02/14 20:19:11  billditt
174
// Modified for Address Checking,
175
// addition of eth_addrcheck.v
176
//
177
// Revision 1.13  2002/02/12 17:03:03  mohor
178
// HASH0 and HASH1 registers added. Registers address width was
179
// changed to 8 bits.
180
//
181
// Revision 1.12  2002/02/11 09:18:22  mohor
182
// Tx status is written back to the BD.
183
//
184
// Revision 1.11  2002/02/08 16:21:54  mohor
185
// Rx status is written back to the BD.
186
//
187
// Revision 1.10  2002/02/06 14:10:21  mohor
188
// non-DMA host interface added. Select the right configutation in eth_defines.
189
//
190
// Revision 1.9  2002/01/23 10:28:16  mohor
191
// Link in the header changed.
192
//
193
// Revision 1.8  2001/12/05 15:00:16  mohor
194
// RX_BD_NUM changed to TX_BD_NUM (holds number of TX descriptors
195
// instead of the number of RX descriptors).
196
//
197
// Revision 1.7  2001/12/05 10:45:59  mohor
198
// ETH_RX_BD_ADR register deleted. ETH_RX_BD_NUM is used instead.
199
//
200
// Revision 1.6  2001/10/19 11:24:29  mohor
201
// Number of addresses (wb_adr_i) minimized.
202
//
203
// Revision 1.5  2001/10/19 08:43:51  mohor
204
// eth_timescale.v changed to timescale.v This is done because of the
205
// simulation of the few cores in a one joined project.
206
//
207
// Revision 1.4  2001/10/18 12:07:11  mohor
208
// Status signals changed, Adress decoding changed, interrupt controller
209
// added.
210
//
211
// Revision 1.3  2001/09/24 15:02:56  mohor
212
// Defines changed (All precede with ETH_). Small changes because some
213
// tools generate warnings when two operands are together. Synchronization
214
// between two clocks domains in eth_wishbonedma.v is changed (due to ASIC
215
// demands).
216
//
217
// Revision 1.2  2001/08/15 14:03:59  mohor
218
// Signal names changed on the top level for easier pad insertion (ASIC).
219
//
220
// Revision 1.1  2001/08/06 14:44:29  mohor
221
// A define FPGA added to select between Artisan RAM (for ASIC) and Block Ram (For Virtex).
222
// Include files fixed to contain no path.
223
// File names and module names changed ta have a eth_ prologue in the name.
224
// File eth_timescale.v is used to define timescale
225
// All pin names on the top module are changed to contain _I, _O or _OE at the end.
226
// Bidirectional signal MDIO is changed to three signals (Mdc_O, Mdi_I, Mdo_O
227
// and Mdo_OE. The bidirectional signal must be created on the top level. This
228
// is done due to the ASIC tools.
229
//
230
// Revision 1.2  2001/08/02 09:25:31  mohor
231
// Unconnected signals are now connected.
232
//
233
// Revision 1.1  2001/07/30 21:23:42  mohor
234
// Directory structure changed. Files checked and joind together.
235
//
236
//
237
//
238
// 
239
  //  Changes : Ran Minerbi
240
 
241
`include "ethmac_defines.v"
242
`include "timescale.v"
243
 
244
 
245
module ethmac
246
(
247
  // WISHBONE common
248
  wb_clk_i, wb_rst_i, wb_dat_i, wb_dat_o,
249
 
250
  // WISHBONE slave
251
  wb_adr_i, wb_sel_i, wb_we_i, wb_cyc_i, wb_stb_i, wb_ack_o, wb_err_o,
252
 
253
  // WISHBONE master
254
  m_wb_adr_o, m_wb_sel_o, m_wb_we_o,
255
  m_wb_dat_o, m_wb_dat_i, m_wb_cyc_o,
256
  m_wb_stb_o, m_wb_ack_i, m_wb_err_i,
257
 
258
`ifdef ETH_WISHBONE_B3
259
  m_wb_cti_o, m_wb_bte_o,
260
`endif
261
 
262
  //TX
263
  mtx_clk_pad_i, mtxd_pad_o, mtxen_pad_o, mtxerr_pad_o,
264
 
265
  //RX
266
  mrx_clk_pad_i, mrxd_pad_i, mrxdv_pad_i, mrxerr_pad_i, mcoll_pad_i, mcrs_pad_i,
267
 
268
  // MIIM
269
  mdc_pad_o, md_pad_i, md_pad_o, md_padoe_o,
270
 
271
  int_o
272
 
273
  // Bist
274
`ifdef ETH_BIST
275
  ,
276
  // debug chain signals
277
  mbist_si_i,       // bist scan serial in
278
  mbist_so_o,       // bist scan serial out
279
  mbist_ctrl_i        // bist chain shift control
280
`endif
281
 
282
);
283
 
284
 
285
parameter TX_FIFO_DATA_WIDTH = `ETH_TX_FIFO_DATA_WIDTH;
286
parameter TX_FIFO_DEPTH      = `ETH_TX_FIFO_DEPTH;
287
parameter TX_FIFO_CNT_WIDTH  = `ETH_TX_FIFO_CNT_WIDTH;
288
parameter RX_FIFO_DATA_WIDTH = `ETH_RX_FIFO_DATA_WIDTH;
289
parameter RX_FIFO_DEPTH      = `ETH_RX_FIFO_DEPTH;
290
parameter RX_FIFO_CNT_WIDTH  = `ETH_RX_FIFO_CNT_WIDTH;
291
 
292
 
293
// WISHBONE common
294
input           wb_clk_i;     // WISHBONE clock
295
input           wb_rst_i;     // WISHBONE reset
296
input   [31:0]  wb_dat_i;     // WISHBONE data input
297
output  [31:0]  wb_dat_o;     // WISHBONE data output
298
output          wb_err_o;     // WISHBONE error output
299
 
300
// WISHBONE slave
301
input   [11:2]  wb_adr_i;     // WISHBONE address input
302
input    [3:0]  wb_sel_i;     // WISHBONE byte select input
303
input           wb_we_i;      // WISHBONE write enable input
304
input           wb_cyc_i;     // WISHBONE cycle input
305
input           wb_stb_i;     // WISHBONE strobe input
306
output          wb_ack_o;     // WISHBONE acknowledge output
307
 
308
// WISHBONE master
309
output  [31:0]  m_wb_adr_o;
310
output   [3:0]  m_wb_sel_o;
311
output          m_wb_we_o;
312
input   [31:0]  m_wb_dat_i;
313
output  [31:0]  m_wb_dat_o;
314
output          m_wb_cyc_o;
315
output          m_wb_stb_o;
316
input           m_wb_ack_i;
317
input           m_wb_err_i;
318
 
319
wire    [29:0]  m_wb_adr_tmp;
320
 
321
`ifdef ETH_WISHBONE_B3
322
output   [2:0]  m_wb_cti_o;   // Cycle Type Identifier
323
output   [1:0]  m_wb_bte_o;   // Burst Type Extension
324
`endif
325
 
326
// Tx
327
input           mtx_clk_pad_i; // Transmit clock (from PHY)
328
output   [3:0]  mtxd_pad_o;    // Transmit nibble (to PHY)
329
output          mtxen_pad_o;   // Transmit enable (to PHY)
330
output          mtxerr_pad_o;  // Transmit error (to PHY)
331
 
332
// Rx
333
input           mrx_clk_pad_i; // Receive clock (from PHY)
334
input    [3:0]  mrxd_pad_i;    // Receive nibble (from PHY)
335
input           mrxdv_pad_i;   // Receive data valid (from PHY)
336
input           mrxerr_pad_i;  // Receive data error (from PHY)
337
 
338
// Common Tx and Rx
339
input           mcoll_pad_i;   // Collision (from PHY)
340
input           mcrs_pad_i;    // Carrier sense (from PHY)
341
 
342
// MII Management interface
343
input           md_pad_i;      // MII data input (from I/O cell)
344
output          mdc_pad_o;     // MII Management data clock (to PHY)
345
output          md_pad_o;      // MII data output (to I/O cell)
346
output          md_padoe_o;    // MII data output enable (to I/O cell)
347
 
348
output          int_o;         // Interrupt output
349
 
350
// Bist
351
`ifdef ETH_BIST
352
input   mbist_si_i;       // bist scan serial in
353
output  mbist_so_o;       // bist scan serial out
354
input [`ETH_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;       // bist chain shift control
355
`endif
356
 
357
wire    [31:0]  wb_dbg_dat0;
358
 
359
wire     [7:0]  r_ClkDiv;
360
wire            r_MiiNoPre;
361
wire    [15:0]  r_CtrlData;
362
wire     [4:0]  r_FIAD;
363
wire     [4:0]  r_RGAD;
364
wire            r_WCtrlData;
365
wire            r_RStat;
366
wire            r_ScanStat;
367
wire            NValid_stat;
368
wire            Busy_stat;
369
wire            LinkFail;
370
wire    [15:0]  Prsd;             // Read Status Data (data read from the PHY)
371
wire            WCtrlDataStart;
372
wire            RStatStart;
373
wire            UpdateMIIRX_DATAReg;
374
 
375
wire            TxStartFrm;
376
wire            TxEndFrm;
377
wire            TxUsedData;
378
wire     [7:0]  TxData;
379
wire            TxRetry;
380
wire            TxAbort;
381
wire            TxUnderRun;
382
wire            TxDone;
383
 
384
 
385
reg             WillSendControlFrame_sync1;
386
reg             WillSendControlFrame_sync2;
387
reg             WillSendControlFrame_sync3;
388
reg             RstTxPauseRq;
389
 
390
reg             TxPauseRq_sync1;
391
reg             TxPauseRq_sync2;
392
reg             TxPauseRq_sync3;
393
reg             TPauseRq;
394
 
395
 
396
// Connecting Miim module
397
eth_miim miim1
398
(
399
  .Clk(wb_clk_i),
400
  .Reset(wb_rst_i),
401
  .Divider(r_ClkDiv),
402
  .NoPre(r_MiiNoPre),
403
  .CtrlData(r_CtrlData),
404
  .Rgad(r_RGAD),
405
  .Fiad(r_FIAD),
406
  .WCtrlData(r_WCtrlData),
407
  .RStat(r_RStat),
408
  .ScanStat(r_ScanStat),
409
  .Mdi(md_pad_i),
410
  .Mdo(md_pad_o),
411
  .MdoEn(md_padoe_o),
412
  .Mdc(mdc_pad_o),
413
  .Busy(Busy_stat),
414
  .Prsd(Prsd),
415
  .LinkFail(LinkFail),
416
  .Nvalid(NValid_stat),
417
  .WCtrlDataStart(WCtrlDataStart),
418
  .RStatStart(RStatStart),
419
  .UpdateMIIRX_DATAReg(UpdateMIIRX_DATAReg)
420
);
421
 
422
 
423
 
424
 
425
wire  [3:0] RegCs;          // Connected to registers
426
wire [31:0] RegDataOut;     // Multiplexed to wb_dat_o
427
wire        r_RecSmall;     // Receive small frames
428
wire        r_LoopBck;      // Loopback
429
wire        r_TxEn;         // Tx Enable
430
wire        r_RxEn;         // Rx Enable
431
 
432
wire        MRxDV_Lb;       // Muxed MII receive data valid
433
wire        MRxErr_Lb;      // Muxed MII Receive Error
434
wire  [3:0] MRxD_Lb;        // Muxed MII Receive Data
435
wire        Transmitting;   // Indication that TxEthMAC is transmitting
436
wire        r_HugEn;        // Huge packet enable
437
wire        r_DlyCrcEn;     // Delayed CRC enabled
438
wire [15:0] r_MaxFL;        // Maximum frame length
439
 
440
wire [15:0] r_MinFL;        // Minimum frame length
441
wire        ShortFrame;
442
wire        DribbleNibble;  // Extra nibble received
443
wire        ReceivedPacketTooBig; // Received packet is too big
444
wire [47:0] r_MAC , r_DMAC;          // MAC address
445
wire        LoadRxStatus;   // Rx status was loaded
446
wire [31:0] r_HASH0;        // HASH table, lower 4 bytes
447
wire [31:0] r_HASH1;        // HASH table, upper 4 bytes
448
wire  [7:0] r_TxBDNum;      // Receive buffer descriptor number
449
wire  [6:0] r_IPGT;         // 
450
wire  [6:0] r_IPGR1;        // 
451
wire  [6:0] r_IPGR2;        // 
452
wire  [5:0] r_CollValid;    // 
453
wire [15:0] r_TxPauseTV;    // Transmit PAUSE value
454
wire        r_TxPauseRq;    // Transmit PAUSE request
455
 
456
wire  [3:0] r_MaxRet;       //
457
wire        r_NoBckof;      // 
458
wire        r_ExDfrEn;      // 
459
wire        r_TxFlow;       // Tx flow control enable
460
wire        r_IFG;          // Minimum interframe gap for incoming packets
461
 
462
wire        TxB_IRQ;        // Interrupt Tx Buffer
463
wire        TxE_IRQ;        // Interrupt Tx Error
464
wire        RxB_IRQ;        // Interrupt Rx Buffer
465
wire        RxE_IRQ;        // Interrupt Rx Error
466
wire        Busy_IRQ;       // Interrupt Busy (lack of buffers)
467
 
468
//wire        DWord;
469
wire        ByteSelected;
470
wire        BDAck;
471
wire [31:0] BD_WB_DAT_O;    // wb_dat_o that comes from the Wishbone module
472
                            //(for buffer descriptors read/write)
473
wire  [3:0] BDCs;           // Buffer descriptor CS
474
wire        CsMiss;         // When access to the address between 0x800
475
                            // and 0xfff occurs, acknowledge is set
476
                            // but data is not valid.
477
wire        r_Pad;
478
wire        r_CrcEn;
479
wire        r_FullD;
480
wire        r_Pro;
481
wire        r_Bro;
482
wire        r_NoPre;
483
wire        r_RxFlow;
484
wire        r_PassAll;
485
wire        TxCtrlEndFrm;
486
wire        StartTxDone;
487
wire        SetPauseTimer;
488
wire        TxUsedDataIn;
489
wire        TxDoneIn;
490
wire        TxAbortIn;
491
wire        PerPacketPad;
492
wire        PadOut;
493
wire        PerPacketCrcEn;
494
wire        CrcEnOut;
495
wire        TxStartFrmOut;
496
wire        TxEndFrmOut;
497
wire        ReceivedPauseFrm;
498
wire        ControlFrmAddressOK;
499
wire        RxStatusWriteLatched_sync2;
500
wire        LateCollision;
501
wire        DeferIndication;
502
wire        LateCollLatched;
503
wire        DeferLatched;
504
wire        RstDeferLatched;
505
wire        CarrierSenseLost;
506
 
507
wire        temp_wb_ack_o;
508
wire [31:0] temp_wb_dat_o;
509
wire        temp_wb_err_o;
510
 
511
`ifdef ETH_REGISTERED_OUTPUTS
512
  reg         temp_wb_ack_o_reg;
513
  reg [31:0]  temp_wb_dat_o_reg;
514
  reg         temp_wb_err_o_reg;
515
`endif
516
 
517
//assign DWord = &wb_sel_i;
518
assign ByteSelected = |wb_sel_i;
519
assign RegCs[3] = wb_stb_i & wb_cyc_i & ByteSelected & ~wb_adr_i[11] & ~wb_adr_i[10] & wb_sel_i[3];   // 0x0   - 0x3FF
520
assign RegCs[2] = wb_stb_i & wb_cyc_i & ByteSelected & ~wb_adr_i[11] & ~wb_adr_i[10] & wb_sel_i[2];   // 0x0   - 0x3FF
521
assign RegCs[1] = wb_stb_i & wb_cyc_i & ByteSelected & ~wb_adr_i[11] & ~wb_adr_i[10] & wb_sel_i[1];   // 0x0   - 0x3FF
522
assign RegCs[0] = wb_stb_i & wb_cyc_i & ByteSelected & ~wb_adr_i[11] & ~wb_adr_i[10] & wb_sel_i[0];   // 0x0   - 0x3FF
523
assign BDCs[3]  = wb_stb_i & wb_cyc_i & ByteSelected & ~wb_adr_i[11] &  wb_adr_i[10] & wb_sel_i[3];   // 0x400 - 0x7FF
524
assign BDCs[2]  = wb_stb_i & wb_cyc_i & ByteSelected & ~wb_adr_i[11] &  wb_adr_i[10] & wb_sel_i[2];   // 0x400 - 0x7FF
525
assign BDCs[1]  = wb_stb_i & wb_cyc_i & ByteSelected & ~wb_adr_i[11] &  wb_adr_i[10] & wb_sel_i[1];   // 0x400 - 0x7FF
526
assign BDCs[0]  = wb_stb_i & wb_cyc_i & ByteSelected & ~wb_adr_i[11] &  wb_adr_i[10] & wb_sel_i[0];   // 0x400 - 0x7FF
527
assign CsMiss = wb_stb_i & wb_cyc_i & ByteSelected & wb_adr_i[11];                   // 0x800 - 0xfFF
528
assign temp_wb_dat_o = ((|RegCs) & ~wb_we_i)? RegDataOut : BD_WB_DAT_O;
529
assign temp_wb_err_o = wb_stb_i & wb_cyc_i & (~ByteSelected | CsMiss);
530
 
531
`ifdef ETH_REGISTERED_OUTPUTS
532
  assign wb_ack_o = temp_wb_ack_o_reg;
533
  assign wb_dat_o[31:0] = temp_wb_dat_o_reg;
534
  assign wb_err_o = temp_wb_err_o_reg;
535
`else
536
  assign wb_ack_o = temp_wb_ack_o;
537
  assign wb_dat_o[31:0] = temp_wb_dat_o;
538
  assign wb_err_o = temp_wb_err_o;
539
`endif
540
 
541
`ifdef ETH_AVALON_BUS
542
  // As Avalon has no corresponding "error" signal, I (erroneously) will
543
  // send an ack to Avalon, even when accessing undefined memory. This
544
  // is a grey area in Avalon vs. Wishbone specs: My understanding
545
  // is that Avalon expects all memory addressable by the addr bus feeding
546
  // a slave to be, at the very minimum, readable.
547
  assign temp_wb_ack_o = (|RegCs) | BDAck | CsMiss;
548
`else // WISHBONE
549
  assign temp_wb_ack_o = (|RegCs) | BDAck;
550
`endif
551
 
552
`ifdef ETH_REGISTERED_OUTPUTS
553
  always @ (posedge wb_clk_i or posedge wb_rst_i)
554
  begin
555
    if(wb_rst_i)
556
      begin
557
        temp_wb_ack_o_reg <= 1'b0;
558
        temp_wb_dat_o_reg <= 32'h0;
559
        temp_wb_err_o_reg <= 1'b0;
560
      end
561
    else
562
      begin
563
        temp_wb_ack_o_reg <= temp_wb_ack_o & ~temp_wb_ack_o_reg;
564
        temp_wb_dat_o_reg <= temp_wb_dat_o;
565
        temp_wb_err_o_reg <= temp_wb_err_o & ~temp_wb_err_o_reg;
566
      end
567
  end
568
`endif
569
 
570
 
571
// Connecting Ethernet registers
572
eth_registers ethreg1
573
(
574
  .DataIn(wb_dat_i),
575
  .Address(wb_adr_i[9:2]),
576
  .Rw(wb_we_i),
577
  .Cs(RegCs),
578
  .Clk(wb_clk_i),
579
  .Reset(wb_rst_i),
580
  .DataOut(RegDataOut),
581
  .r_RecSmall(r_RecSmall),
582
  .r_Pad(r_Pad),
583
  .r_HugEn(r_HugEn),
584
  .r_CrcEn(r_CrcEn),
585
  .r_DlyCrcEn(r_DlyCrcEn),
586
  .r_FullD(r_FullD),
587
  .r_ExDfrEn(r_ExDfrEn),
588
  .r_NoBckof(r_NoBckof),
589
  .r_LoopBck(r_LoopBck),
590
  .r_IFG(r_IFG),
591
  .r_Pro(r_Pro),
592
  .r_Iam(),
593
  .r_Bro(r_Bro),
594
  .r_NoPre(r_NoPre),
595
  .r_TxEn(r_TxEn),
596
  .r_RxEn(r_RxEn),
597
  .Busy_IRQ(Busy_IRQ),
598
  .RxE_IRQ(RxE_IRQ),
599
  .RxB_IRQ(RxB_IRQ),
600
  .TxE_IRQ(TxE_IRQ),
601
  .TxB_IRQ(TxB_IRQ),
602
  .r_IPGT(r_IPGT),
603
  .r_IPGR1(r_IPGR1),
604
  .r_IPGR2(r_IPGR2),
605
  .r_MinFL(r_MinFL),
606
  .r_MaxFL(r_MaxFL),
607
  .r_MaxRet(r_MaxRet),
608
  .r_CollValid(r_CollValid),
609
  .r_TxFlow(r_TxFlow),
610
  .r_RxFlow(r_RxFlow),
611
  .r_PassAll(r_PassAll),
612
  .r_MiiNoPre(r_MiiNoPre),
613
  .r_ClkDiv(r_ClkDiv),
614
  .r_WCtrlData(r_WCtrlData),
615
  .r_RStat(r_RStat),
616
  .r_ScanStat(r_ScanStat),
617
  .r_RGAD(r_RGAD),
618
  .r_FIAD(r_FIAD),
619
  .r_CtrlData(r_CtrlData),
620
  .NValid_stat(NValid_stat),
621
  .Busy_stat(Busy_stat),
622
  .LinkFail(LinkFail),
623
  .r_MAC(r_MAC),
624
  .r_DMAC(r_DMAC),
625
  .WCtrlDataStart(WCtrlDataStart),
626
  .RStatStart(RStatStart),
627
  .UpdateMIIRX_DATAReg(UpdateMIIRX_DATAReg),
628
  .Prsd(Prsd),
629
  .r_TxBDNum(r_TxBDNum),
630
  .int_o(int_o),
631
  .r_HASH0(r_HASH0),
632
  .r_HASH1(r_HASH1),
633
  .r_TxPauseRq(r_TxPauseRq),
634
  .r_TxPauseTV(r_TxPauseTV),
635
  .RstTxPauseRq(RstTxPauseRq),
636
  .TxCtrlEndFrm(TxCtrlEndFrm),
637
  .StartTxDone(StartTxDone),
638
  .TxClk(mtx_clk_pad_i),
639
  .RxClk(mrx_clk_pad_i),
640
  .dbg_dat(wb_dbg_dat0),
641
  .SetPauseTimer(SetPauseTimer)
642
 
643
);
644
 
645
 
646
 
647
wire  [7:0] RxData;
648
wire        RxValid;
649
wire        RxStartFrm;
650
wire        RxEndFrm;
651
wire        RxAbort;
652
 
653
wire        WillTransmit;            // Will transmit (to RxEthMAC)
654
wire        ResetCollision;          // Reset Collision (for synchronizing 
655
                                     // collision)
656
wire  [7:0] TxDataOut;               // Transmit Packet Data (to TxEthMAC)
657
wire        WillSendControlFrame;
658
wire        ReceiveEnd;
659
wire        ReceivedPacketGood;
660
wire        ReceivedLengthOK;
661
wire        InvalidSymbol;
662
wire        LatchedCrcError;
663
wire        RxLateCollision;
664
wire  [3:0] RetryCntLatched;
665
wire  [3:0] RetryCnt;
666
wire        StartTxAbort;
667
wire        MaxCollisionOccured;
668
wire        RetryLimit;
669
wire        StatePreamble;
670
wire  [1:0] StateData;
671
 
672
// Connecting MACControl
673
eth_maccontrol maccontrol1
674
(
675
  .MTxClk(mtx_clk_pad_i),
676
  .TPauseRq(TPauseRq),
677
  .TxPauseTV(r_TxPauseTV),
678
  .TxDataIn(TxData),
679
  .TxStartFrmIn(TxStartFrm),
680
  .TxEndFrmIn(TxEndFrm),
681
  .TxUsedDataIn(TxUsedDataIn),
682
  .TxDoneIn(TxDoneIn),
683
  .TxAbortIn(TxAbortIn),
684
  .MRxClk(mrx_clk_pad_i),
685
  .RxData(RxData),
686
  .RxValid(RxValid),
687
  .RxStartFrm(RxStartFrm),
688
  .RxEndFrm(RxEndFrm),
689
  .ReceiveEnd(ReceiveEnd),
690
  .ReceivedPacketGood(ReceivedPacketGood),
691
  .TxFlow(r_TxFlow),
692
  .RxFlow(r_RxFlow),
693
  .DlyCrcEn(r_DlyCrcEn),
694
  .MAC(r_MAC),
695
  .DMAC(r_DMAC),
696
  .PadIn(r_Pad | PerPacketPad),
697
  .PadOut(PadOut),
698
  .CrcEnIn(r_CrcEn | PerPacketCrcEn),
699
  .CrcEnOut(CrcEnOut),
700
  .TxReset(wb_rst_i),
701
  .RxReset(wb_rst_i),
702
  .ReceivedLengthOK(ReceivedLengthOK),
703
  .TxDataOut(TxDataOut),
704
  .TxStartFrmOut(TxStartFrmOut),
705
  .TxEndFrmOut(TxEndFrmOut),
706
  .TxUsedDataOut(TxUsedData),
707
  .TxDoneOut(TxDone),
708
  .TxAbortOut(TxAbort),
709
  .WillSendControlFrame(WillSendControlFrame),
710
  .TxCtrlEndFrm(TxCtrlEndFrm),
711
  .ReceivedPauseFrm(ReceivedPauseFrm),
712
  .ControlFrmAddressOK(ControlFrmAddressOK),
713
  .SetPauseTimer(SetPauseTimer),
714
  .RxStatusWriteLatched_sync2(RxStatusWriteLatched_sync2),
715
  .r_PassAll(r_PassAll)
716
);
717
 
718
 
719
 
720
wire TxCarrierSense;          // Synchronized CarrierSense (to Tx clock)
721
wire Collision;               // Synchronized Collision
722
 
723
reg CarrierSense_Tx1;
724
reg CarrierSense_Tx2;
725
reg Collision_Tx1;
726
reg Collision_Tx2;
727
 
728
reg RxEnSync;                 // Synchronized Receive Enable
729
reg WillTransmit_q;
730
reg WillTransmit_q2;
731
 
732
 
733
 
734
// Muxed MII receive data valid
735
assign MRxDV_Lb = r_LoopBck? mtxen_pad_o : mrxdv_pad_i & RxEnSync;
736
 
737
// Muxed MII Receive Error
738
assign MRxErr_Lb = r_LoopBck? mtxerr_pad_o : mrxerr_pad_i & RxEnSync;
739
 
740
// Muxed MII Receive Data
741
assign MRxD_Lb[3:0] = r_LoopBck? mtxd_pad_o[3:0] : mrxd_pad_i[3:0];
742
 
743
 
744
 
745
// Connecting TxEthMAC
746
eth_txethmac txethmac1
747
(
748
  .MTxClk(mtx_clk_pad_i),
749
  .Reset(wb_rst_i),
750
  .CarrierSense(TxCarrierSense),
751
  .Collision(Collision),
752
  .TxData(TxDataOut),
753
  .TxStartFrm(TxStartFrmOut),
754
  .TxUnderRun(TxUnderRun),
755
  .TxEndFrm(TxEndFrmOut),
756
  .Pad(PadOut),
757
  .MinFL(r_MinFL),
758
  .CrcEn(CrcEnOut),
759
  .FullD(r_FullD),
760
  .HugEn(r_HugEn),
761
  .DlyCrcEn(r_DlyCrcEn),
762
  .IPGT(r_IPGT),
763
  .IPGR1(r_IPGR1),
764
  .IPGR2(r_IPGR2),
765
  .CollValid(r_CollValid),
766
  .MaxRet(r_MaxRet),
767
  .NoBckof(r_NoBckof),
768
  .ExDfrEn(r_ExDfrEn),
769
  .MaxFL(r_MaxFL),
770
  .MTxEn(mtxen_pad_o),
771
  .MTxD(mtxd_pad_o),
772
  .MTxErr(mtxerr_pad_o),
773
  .TxUsedData(TxUsedDataIn),
774
  .TxDone(TxDoneIn),
775
  .TxRetry(TxRetry),
776
  .TxAbort(TxAbortIn),
777
  .WillTransmit(WillTransmit),
778
  .ResetCollision(ResetCollision),
779
  .RetryCnt(RetryCnt),
780
  .StartTxDone(StartTxDone),
781
  .StartTxAbort(StartTxAbort),
782
  .MaxCollisionOccured(MaxCollisionOccured),
783
  .LateCollision(LateCollision),
784
  .DeferIndication(DeferIndication),
785
  .StatePreamble(StatePreamble),
786
  .StateData(StateData)
787
);
788
 
789
 
790
 
791
 
792
wire  [15:0]  RxByteCnt;
793
wire          RxByteCntEq0;
794
wire          RxByteCntGreat2;
795
wire          RxByteCntMaxFrame;
796
wire          RxCrcError;
797
wire          RxStateIdle;
798
wire          RxStatePreamble;
799
wire          RxStateSFD;
800
wire   [1:0]  RxStateData;
801
wire          AddressMiss;
802
 
803
 
804
 
805
// Connecting RxEthMAC
806
eth_rxethmac rxethmac1
807
(
808
  .MRxClk(mrx_clk_pad_i),
809
  .MRxDV(MRxDV_Lb),
810
  .MRxD(MRxD_Lb),
811
  .Transmitting(Transmitting),
812
  .HugEn(r_HugEn),
813
  .DlyCrcEn(r_DlyCrcEn),
814
  .MaxFL(r_MaxFL),
815
  .r_IFG(r_IFG),
816
  .Reset(wb_rst_i),
817
  .RxData(RxData),
818
  .RxValid(RxValid),
819
  .RxStartFrm(RxStartFrm),
820
  .RxEndFrm(RxEndFrm),
821
  .ByteCnt(RxByteCnt),
822
  .ByteCntEq0(RxByteCntEq0),
823
  .ByteCntGreat2(RxByteCntGreat2),
824
  .ByteCntMaxFrame(RxByteCntMaxFrame),
825
  .CrcError(RxCrcError),
826
  .StateIdle(RxStateIdle),
827
  .StatePreamble(RxStatePreamble),
828
  .StateSFD(RxStateSFD),
829
  .StateData(RxStateData),
830
  .MAC(r_MAC),
831
  .r_Pro(r_Pro),
832
  .r_Bro(r_Bro),
833
  .r_HASH0(r_HASH0),
834
  .r_HASH1(r_HASH1),
835
  .RxAbort(RxAbort),
836
  .AddressMiss(AddressMiss),
837
  .PassAll(r_PassAll),
838
  .ControlFrmAddressOK(ControlFrmAddressOK)
839
);
840
 
841
 
842
// MII Carrier Sense Synchronization
843
always @ (posedge mtx_clk_pad_i or posedge wb_rst_i)
844
begin
845
  if(wb_rst_i)
846
    begin
847
      CarrierSense_Tx1 <=  1'b0;
848
      CarrierSense_Tx2 <=  1'b0;
849
    end
850
  else
851
    begin
852
      CarrierSense_Tx1 <=  mcrs_pad_i;
853
      CarrierSense_Tx2 <=  CarrierSense_Tx1;
854
    end
855
end
856
 
857
assign TxCarrierSense = ~r_FullD & CarrierSense_Tx2;
858
 
859
 
860
// MII Collision Synchronization
861
always @ (posedge mtx_clk_pad_i or posedge wb_rst_i)
862
begin
863
  if(wb_rst_i)
864
    begin
865
      Collision_Tx1 <=  1'b0;
866
      Collision_Tx2 <=  1'b0;
867
    end
868
  else
869
    begin
870
      Collision_Tx1 <=  mcoll_pad_i;
871
      if(ResetCollision)
872
        Collision_Tx2 <=  1'b0;
873
      else
874
      if(Collision_Tx1)
875
        Collision_Tx2 <=  1'b1;
876
    end
877
end
878
 
879
 
880
// Synchronized Collision
881
assign Collision = ~r_FullD & Collision_Tx2;
882
 
883
 
884
 
885
// Delayed WillTransmit
886
always @ (posedge mrx_clk_pad_i)
887
begin
888
  WillTransmit_q <=  WillTransmit;
889
  WillTransmit_q2 <=  WillTransmit_q;
890
end
891
 
892
 
893
assign Transmitting = ~r_FullD & WillTransmit_q2;
894
 
895
 
896
 
897
// Synchronized Receive Enable
898
always @ (posedge mrx_clk_pad_i or posedge wb_rst_i)
899
begin
900
  if(wb_rst_i)
901
    RxEnSync <=  1'b0;
902
  else
903
  if(~mrxdv_pad_i)
904
    RxEnSync <=  r_RxEn;
905
end
906
 
907
 
908
 
909
// Synchronizing WillSendControlFrame to WB_CLK;
910
always @ (posedge wb_clk_i or posedge wb_rst_i)
911
begin
912
  if(wb_rst_i)
913
    WillSendControlFrame_sync1 <= 1'b0;
914
  else
915
    WillSendControlFrame_sync1 <= WillSendControlFrame;
916
end
917
 
918
always @ (posedge wb_clk_i or posedge wb_rst_i)
919
begin
920
  if(wb_rst_i)
921
    WillSendControlFrame_sync2 <= 1'b0;
922
  else
923
    WillSendControlFrame_sync2 <= WillSendControlFrame_sync1;
924
end
925
 
926
always @ (posedge wb_clk_i or posedge wb_rst_i)
927
begin
928
  if(wb_rst_i)
929
    WillSendControlFrame_sync3 <= 1'b0;
930
  else
931
    WillSendControlFrame_sync3 <= WillSendControlFrame_sync2;
932
end
933
 
934
always @ (posedge wb_clk_i or posedge wb_rst_i)
935
begin
936
  if(wb_rst_i)
937
    RstTxPauseRq <= 1'b0;
938
  else
939
    RstTxPauseRq <= WillSendControlFrame_sync2 & ~WillSendControlFrame_sync3;
940
end
941
 
942
 
943
 
944
 
945
// TX Pause request Synchronization
946
always @ (posedge mtx_clk_pad_i or posedge wb_rst_i)
947
begin
948
  if(wb_rst_i)
949
    begin
950
      TxPauseRq_sync1 <=  1'b0;
951
      TxPauseRq_sync2 <=  1'b0;
952
      TxPauseRq_sync3 <=  1'b0;
953
    end
954
  else
955
    begin
956
      TxPauseRq_sync1 <=  (r_TxPauseRq & r_TxFlow);
957
      TxPauseRq_sync2 <=  TxPauseRq_sync1;
958
      TxPauseRq_sync3 <=  TxPauseRq_sync2;
959
    end
960
end
961
 
962
 
963
always @ (posedge mtx_clk_pad_i or posedge wb_rst_i)
964
begin
965
  if(wb_rst_i)
966
    TPauseRq <=  1'b0;
967
  else
968
    TPauseRq <=  TxPauseRq_sync2 & (~TxPauseRq_sync3);
969
end
970
 
971
 
972
wire LatchedMRxErr;
973
reg RxAbort_latch;
974
reg RxAbort_sync1;
975
reg RxAbort_wb;
976
reg RxAbortRst_sync1;
977
reg RxAbortRst;
978
 
979
// Synchronizing RxAbort to the WISHBONE clock
980
always @ (posedge mrx_clk_pad_i or posedge wb_rst_i)
981
begin
982
  if(wb_rst_i)
983
    RxAbort_latch <=  1'b0;
984
  else if(RxAbort | (ShortFrame & ~r_RecSmall) | LatchedMRxErr &
985
          ~InvalidSymbol | (ReceivedPauseFrm & (~r_PassAll)))
986
    RxAbort_latch <=  1'b1;
987
  else if(RxAbortRst)
988
    RxAbort_latch <=  1'b0;
989
end
990
 
991
always @ (posedge wb_clk_i or posedge wb_rst_i)
992
begin
993
  if(wb_rst_i)
994
    begin
995
      RxAbort_sync1 <=  1'b0;
996
      RxAbort_wb    <=  1'b0;
997
      RxAbort_wb    <=  1'b0;
998
    end
999
  else
1000
    begin
1001
      RxAbort_sync1 <=  RxAbort_latch;
1002
      RxAbort_wb    <=  RxAbort_sync1;
1003
    end
1004
end
1005
 
1006
always @ (posedge mrx_clk_pad_i or posedge wb_rst_i)
1007
begin
1008
  if(wb_rst_i)
1009
    begin
1010
      RxAbortRst_sync1 <=  1'b0;
1011
      RxAbortRst       <=  1'b0;
1012
    end
1013
  else
1014
    begin
1015
      RxAbortRst_sync1 <=  RxAbort_wb;
1016
      RxAbortRst       <=  RxAbortRst_sync1;
1017
    end
1018
end
1019
 
1020
 
1021
 
1022
// Connecting Wishbone module
1023
eth_wishbone #(.TX_FIFO_DATA_WIDTH(TX_FIFO_DATA_WIDTH),
1024
               .TX_FIFO_DEPTH     (TX_FIFO_DEPTH),
1025
               .TX_FIFO_CNT_WIDTH (TX_FIFO_CNT_WIDTH),
1026
               .RX_FIFO_DATA_WIDTH(RX_FIFO_DATA_WIDTH),
1027
               .RX_FIFO_DEPTH     (RX_FIFO_DEPTH),
1028
               .RX_FIFO_CNT_WIDTH (RX_FIFO_CNT_WIDTH))
1029
wishbone
1030
(
1031
  .WB_CLK_I(wb_clk_i),
1032
  .WB_DAT_I(wb_dat_i),
1033
  .WB_DAT_O(BD_WB_DAT_O),
1034
 
1035
  // WISHBONE slave
1036
  .WB_ADR_I(wb_adr_i[9:2]),
1037
  .WB_WE_I(wb_we_i),
1038
  .BDCs(BDCs),
1039
  .WB_ACK_O(BDAck),
1040
  .Reset(wb_rst_i),
1041
 
1042
  // WISHBONE master
1043
  .m_wb_adr_o(m_wb_adr_tmp),
1044
  .m_wb_sel_o(m_wb_sel_o),
1045
  .m_wb_we_o(m_wb_we_o),
1046
  .m_wb_dat_i(m_wb_dat_i),
1047
  .m_wb_dat_o(m_wb_dat_o),
1048
  .m_wb_cyc_o(m_wb_cyc_o),
1049
  .m_wb_stb_o(m_wb_stb_o),
1050
  .m_wb_ack_i(m_wb_ack_i),
1051
  .m_wb_err_i(m_wb_err_i),
1052
 
1053
`ifdef ETH_WISHBONE_B3
1054
  .m_wb_cti_o(m_wb_cti_o),
1055
  .m_wb_bte_o(m_wb_bte_o),
1056
`endif
1057
 
1058
    //TX
1059
  .MTxClk(mtx_clk_pad_i),
1060
  .TxStartFrm(TxStartFrm),
1061
  .TxEndFrm(TxEndFrm),
1062
  .TxUsedData(TxUsedData),
1063
  .TxData(TxData),
1064
  .TxRetry(TxRetry),
1065
  .TxAbort(TxAbort),
1066
  .TxUnderRun(TxUnderRun),
1067
  .TxDone(TxDone),
1068
  .PerPacketCrcEn(PerPacketCrcEn),
1069
  .PerPacketPad(PerPacketPad),
1070
 
1071
  // Register
1072
  .r_TxEn(r_TxEn),
1073
  .r_RxEn(r_RxEn),
1074
  .r_TxBDNum(r_TxBDNum),
1075
  .r_RxFlow(r_RxFlow),
1076
  .r_PassAll(r_PassAll),
1077
 
1078
  //RX
1079
  .MRxClk(mrx_clk_pad_i),
1080
  .RxData(RxData),
1081
  .RxValid(RxValid),
1082
  .RxStartFrm(RxStartFrm),
1083
  .RxEndFrm(RxEndFrm),
1084
  .Busy_IRQ(Busy_IRQ),
1085
  .RxE_IRQ(RxE_IRQ),
1086
  .RxB_IRQ(RxB_IRQ),
1087
  .TxE_IRQ(TxE_IRQ),
1088
  .TxB_IRQ(TxB_IRQ),
1089
 
1090
  .RxAbort(RxAbort_wb),
1091
  .RxStatusWriteLatched_sync2(RxStatusWriteLatched_sync2),
1092
 
1093
  .InvalidSymbol(InvalidSymbol),
1094
  .LatchedCrcError(LatchedCrcError),
1095
  .RxLength(RxByteCnt),
1096
  .RxLateCollision(RxLateCollision),
1097
  .ShortFrame(ShortFrame),
1098
  .DribbleNibble(DribbleNibble),
1099
  .ReceivedPacketTooBig(ReceivedPacketTooBig),
1100
  .LoadRxStatus(LoadRxStatus),
1101
  .RetryCntLatched(RetryCntLatched),
1102
  .RetryLimit(RetryLimit),
1103
  .LateCollLatched(LateCollLatched),
1104
  .DeferLatched(DeferLatched),
1105
  .RstDeferLatched(RstDeferLatched),
1106
  .CarrierSenseLost(CarrierSenseLost),
1107
  .ReceivedPacketGood(ReceivedPacketGood),
1108
  .AddressMiss(AddressMiss),
1109
  .ReceivedPauseFrm(ReceivedPauseFrm)
1110
 
1111
`ifdef ETH_BIST
1112
  ,
1113
  .mbist_si_i       (mbist_si_i),
1114
  .mbist_so_o       (mbist_so_o),
1115
  .mbist_ctrl_i       (mbist_ctrl_i)
1116
`endif
1117
`ifdef WISHBONE_DEBUG
1118
  ,
1119
  .dbg_dat0(wb_dbg_dat0)
1120
`endif
1121
 
1122
);
1123
 
1124
assign m_wb_adr_o = {m_wb_adr_tmp, 2'h0};
1125
 
1126
// Connecting MacStatus module
1127
eth_macstatus macstatus1
1128
(
1129
  .MRxClk(mrx_clk_pad_i),
1130
  .Reset(wb_rst_i),
1131
  .ReceiveEnd(ReceiveEnd),
1132
  .ReceivedPacketGood(ReceivedPacketGood),
1133
     .ReceivedLengthOK(ReceivedLengthOK),
1134
  .RxCrcError(RxCrcError),
1135
  .MRxErr(MRxErr_Lb),
1136
  .MRxDV(MRxDV_Lb),
1137
  .RxStateSFD(RxStateSFD),
1138
  .RxStateData(RxStateData),
1139
  .RxStatePreamble(RxStatePreamble),
1140
  .RxStateIdle(RxStateIdle),
1141
  .Transmitting(Transmitting),
1142
  .RxByteCnt(RxByteCnt),
1143
  .RxByteCntEq0(RxByteCntEq0),
1144
  .RxByteCntGreat2(RxByteCntGreat2),
1145
  .RxByteCntMaxFrame(RxByteCntMaxFrame),
1146
  .InvalidSymbol(InvalidSymbol),
1147
  .MRxD(MRxD_Lb),
1148
  .LatchedCrcError(LatchedCrcError),
1149
  .Collision(mcoll_pad_i),
1150
  .CollValid(r_CollValid),
1151
  .RxLateCollision(RxLateCollision),
1152
  .r_RecSmall(r_RecSmall),
1153
  .r_MinFL(r_MinFL),
1154
  .r_MaxFL(r_MaxFL),
1155
  .ShortFrame(ShortFrame),
1156
  .DribbleNibble(DribbleNibble),
1157
  .ReceivedPacketTooBig(ReceivedPacketTooBig),
1158
  .r_HugEn(r_HugEn),
1159
  .LoadRxStatus(LoadRxStatus),
1160
  .RetryCnt(RetryCnt),
1161
  .StartTxDone(StartTxDone),
1162
  .StartTxAbort(StartTxAbort),
1163
  .RetryCntLatched(RetryCntLatched),
1164
  .MTxClk(mtx_clk_pad_i),
1165
  .MaxCollisionOccured(MaxCollisionOccured),
1166
  .RetryLimit(RetryLimit),
1167
  .LateCollision(LateCollision),
1168
  .LateCollLatched(LateCollLatched),
1169
  .DeferIndication(DeferIndication),
1170
  .DeferLatched(DeferLatched),
1171
  .RstDeferLatched(RstDeferLatched),
1172
  .TxStartFrm(TxStartFrmOut),
1173
  .StatePreamble(StatePreamble),
1174
  .StateData(StateData),
1175
  .CarrierSense(CarrierSense_Tx2),
1176
  .CarrierSenseLost(CarrierSenseLost),
1177
  .TxUsedData(TxUsedDataIn),
1178
  .LatchedMRxErr(LatchedMRxErr),
1179
  .Loopback(r_LoopBck),
1180
  .r_FullD(r_FullD)
1181
);
1182
 
1183
 
1184
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.