OpenCores
URL https://opencores.org/ocsvn/manchesterwireless/manchesterwireless/trunk

Subversion Repositories manchesterwireless

[/] [manchesterwireless/] [branches/] [singledouble/] [globals.vhd] - Blame information for rev 7

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 kingmu
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
package globals is
5
  -- number of data bits
6
  constant WORD_LENGTH : integer := 4;
7
 
8
  -- when each transmitter bit is 3.24 ms and the FPGA clock is 50 MHz
9 3 kingmu
  -- then:
10
 
11
  -- single is nominally 23200
12
  constant INTERVAL_MIN_SINGLE: integer := 10000;
13
  constant INTERVAL_MAX_SINGLE: integer := 65000;
14
 
15 2 kingmu
  -- double is nominally 43000-50000
16 3 kingmu
  constant INTERVAL_MIN_DOUBLE: integer := 90000;--80000
17 2 kingmu
  constant INTERVAL_MAX_DOUBLE: integer := 120000;
18 3 kingmu
 
19 2 kingmu
  constant INTERVAL_QUADRUPLE: integer  := 650000;--350000
20
end globals;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.