OpenCores
URL https://opencores.org/ocsvn/marca/marca/trunk

Subversion Repositories marca

[/] [marca/] [tags/] [INITIAL/] [quartus/] [marca.qsf] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jeunes2
# Copyright (C) 1991-2006 Altera Corporation
2
# Your use of Altera Corporation's design tools, logic functions
3
# and other software and tools, and its AMPP partner logic
4
# functions, and any output files any of the foregoing
5
# (including device programming or simulation files), and any
6
# associated documentation or information are expressly subject
7
# to the terms and conditions of the Altera Program License
8
# Subscription Agreement, Altera MegaCore Function License
9
# Agreement, or other applicable license agreement, including,
10
# without limitation, that your use is for the sole purpose of
11
# programming logic devices manufactured by Altera and sold by
12
# Altera or its authorized distributors.  Please refer to the
13
# applicable agreement for further details.
14
 
15
 
16
# The default values for assignments are stored in the file
17
#               marca_assignment_defaults.qdf
18
# If this file doesn't exist, and for assignments not listed, see file
19
#               assignment_defaults.qdf
20
 
21
# Altera recommends that you do not modify this file. This
22
# file is updated automatically by the Quartus II software
23
# and any changes you make may be lost or overwritten.
24
 
25
 
26
 
27
# Project-Wide Assignments
28
# ========================
29
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "6.0 SP1"
30
set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:01:50  OCTOBER 25, 2006"
31
set_global_assignment -name LAST_QUARTUS_VERSION "6.0 SP1"
32
set_global_assignment -name SMART_RECOMPILE ON
33
 
34
# Analysis & Synthesis Assignments
35
# ================================
36
set_global_assignment -name FAMILY Cyclone
37
set_global_assignment -name TOP_LEVEL_ENTITY marca
38
set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE AREA
39
set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE AREA
40
set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE AREA
41
set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE AREA
42
set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE AREA
43
set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE AREA
44
set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE AREA
45
set_global_assignment -name IGNORE_LCELL_BUFFERS ON
46
set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON
47
set_global_assignment -name ADV_NETLIST_OPT_SYNTH_GATE_RETIME ON
48
set_global_assignment -name MUX_RESTRUCTURE ON
49
 
50
# Fitter Assignments
51
# ==================
52
set_global_assignment -name DEVICE EP1C12Q240C8
53
set_global_assignment -name FITTER_EFFORT "AUTO FIT"
54
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
55
set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
56
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC ON
57
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON
58
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING ON
59
set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL MAXIMUM
60
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD LVCMOS
61
 
62
# Assembler Assignments
63
# =====================
64
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
65
 
66
# Simulator Assignments
67
# =====================
68
set_global_assignment -name VECTOR_INPUT_SOURCE "z:\\mnt\\wolfgang\\marca\\sim\\sim1.vwf"
69
set_global_assignment -name SETUP_HOLD_DETECTION ON
70
set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH OFF
71
 
72
# -------------------
73
# start ENTITY(marca)
74
 
75
# end ENTITY(marca)
76
# -----------------
77
set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT FAST
78
set_global_assignment -name FMAX_REQUIREMENT "20 MHz"
79
set_global_assignment -name STATE_MACHINE_PROCESSING "ONE-HOT"
80
set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS ON
81
set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION ON
82
set_global_assignment -name ENABLE_DRC_SETTINGS ON
83
set_global_assignment -name ENABLE_CLOCK_LATENCY ON
84
set_global_assignment -name ENABLE_RECOVERY_REMOVAL_ANALYSIS ON
85
set_global_assignment -name FMAX_REQUIREMENT "20 MHz" -section_id dspio_clock
86
set_global_assignment -name SIMULATION_MODE TIMING
87
set_global_assignment -name EDA_SIMULATION_TOOL ""
88
set_global_assignment -name EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION OFF -section_id eda_simulation
89
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT NONE -section_id eda_simulation
90
set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS"
91
set_global_assignment -name GLITCH_DETECTION OFF
92
set_global_assignment -name AUTO_RESOURCE_SHARING ON
93
set_global_assignment -name REMOVE_DUPLICATE_REGISTERS ON
94
set_global_assignment -name REMOVE_DUPLICATE_LOGIC ON
95
set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION ON
96
set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION ON
97
set_global_assignment -name IGNORE_CARRY_BUFFERS ON
98
set_global_assignment -name IGNORE_CASCADE_BUFFERS ON
99
set_global_assignment -name AUTO_RAM_RECOGNITION ON
100
set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION ON
101
set_global_assignment -name AUTO_PACKED_REGISTERS_CYCLONE "MINIMIZE AREA WITH CHAINS"
102
set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION ALWAYS
103
set_global_assignment -name OPTIMIZE_TIMING OFF
104
set_global_assignment -name OPTIMIZE_FAST_CORNER_TIMING ON
105
set_global_assignment -name DO_COMBINED_ANALYSIS ON
106
set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING ON
107
set_global_assignment -name SAVE_DISK_SPACE OFF
108
set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "EXTRA EFFORT"
109
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE AREA
110
set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE AREA
111
set_global_assignment -name VHDL_INPUT_VERSION VHDL93
112
set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF
113
set_global_assignment -name GENERATE_RBF_FILE ON
114
set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION OFF
115
set_location_assignment PIN_152 -to clock
116
set_location_assignment PIN_153 -to ext_in[0]
117
set_location_assignment PIN_28 -to ext_in[1]
118
set_location_assignment PIN_178 -to ext_out[0]
119
set_location_assignment PIN_177 -to ext_out[1]
120
set_location_assignment PIN_42 -to ext_reset
121
set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION AUTOMATICALLY
122
set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION OFF
123
set_global_assignment -name ROUTER_REGISTER_DUPLICATION OFF
124
set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 4.0
125
set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0
126
set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE ON
127
set_global_assignment -name SIMULATOR_SIGNAL_ACTIVITY_FILE_OUTPUT_DESTINATION marca.saf
128
set_global_assignment -name POWER_USE_INPUT_FILES ON
129
set_global_assignment -name POWER_INPUT_FILE_NAME marca.saf -section_id marca.saf
130
set_global_assignment -name POWER_INPUT_FILE_TYPE SAF -section_id marca.saf
131
set_instance_assignment -name POWER_READ_INPUT_FILE marca.saf -to marca
132
set_global_assignment -name VHDL_FILE ../vhdl/marca_pkg.vhd
133
set_global_assignment -name VHDL_FILE ../vhdl/sc_pkg.vhd
134
set_global_assignment -name VHDL_FILE ../vhdl/code_memory.vhd
135
set_global_assignment -name VHDL_FILE ../vhdl/fetch_ent.vhd
136
set_global_assignment -name VHDL_FILE ../vhdl/fetch.vhd
137
set_global_assignment -name VHDL_FILE ../vhdl/regfile_ent.vhd
138
set_global_assignment -name VHDL_FILE ../vhdl/regfile.vhd
139
set_global_assignment -name VHDL_FILE ../vhdl/decode_ent.vhd
140
set_global_assignment -name VHDL_FILE ../vhdl/decode.vhd
141
set_global_assignment -name VHDL_FILE ../vhdl/multiplier_ent.vhd
142
set_global_assignment -name VHDL_FILE ../vhdl/multiplier.vhd
143
set_global_assignment -name VHDL_FILE ../vhdl/divider_ent.vhd
144
set_global_assignment -name VHDL_FILE ../vhdl/divider.vhd
145
set_global_assignment -name VHDL_FILE ../vhdl/alu_ent.vhd
146
set_global_assignment -name VHDL_FILE ../vhdl/alu.vhd
147
set_global_assignment -name VHDL_FILE ../vhdl/data_memory.vhd
148
set_global_assignment -name VHDL_FILE ../vhdl/data_rom.vhd
149
set_global_assignment -name VHDL_FILE ../vhdl/fifo.vhd
150
set_global_assignment -name VHDL_FILE ../vhdl/sc_uart.vhd
151
set_global_assignment -name VHDL_FILE ../vhdl/mem_ent.vhd
152
set_global_assignment -name VHDL_FILE ../vhdl/mem.vhd
153
set_global_assignment -name VHDL_FILE ../vhdl/intr_ent.vhd
154
set_global_assignment -name VHDL_FILE ../vhdl/intr.vhd
155
set_global_assignment -name VHDL_FILE ../vhdl/execute_ent.vhd
156
set_global_assignment -name VHDL_FILE ../vhdl/execute.vhd
157
set_global_assignment -name VHDL_FILE ../vhdl/writeback_ent.vhd
158
set_global_assignment -name VHDL_FILE ../vhdl/writeback.vhd
159
set_global_assignment -name VHDL_FILE ../vhdl/marca_ent.vhd
160
set_global_assignment -name VHDL_FILE ../vhdl/marca.vhd
161
set_global_assignment -name MIF_FILE ../vhdl/code.mif
162
set_global_assignment -name MIF_FILE ../vhdl/rom0.mif
163
set_global_assignment -name MIF_FILE ../vhdl/rom1.mif
164
set_global_assignment -name VECTOR_WAVEFORM_FILE ../sim/sim1.vwf
165
set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS ON
166
set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_IN_NORMAL_FLOW ON

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.