OpenCores
URL https://opencores.org/ocsvn/marca/marca/trunk

Subversion Repositories marca

[/] [marca/] [tags/] [INITIAL/] [spar/] [emit.h] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jeunes2
/* This file is part of the assembler "spar" for marca.
2
   Copyright (C) 2007 Wolfgang Puffitsch
3
 
4
   This program is free software; you can redistribute it and/or modify it
5
   under the terms of the GNU Library General Public License as published
6
   by the Free Software Foundation; either version 2, or (at your option)
7
   any later version.
8
 
9
   This program is distributed in the hope that it will be useful,
10
   but WITHOUT ANY WARRANTY; without even the implied warranty of
11
   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
12
   Library General Public License for more details.
13
 
14
   You should have received a copy of the GNU Library General Public
15
   License along with this program; if not, write to the Free Software
16
   Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301, USA */
17
 
18
#ifndef _EMIT_H_
19
#define _EMIT_H_
20
 
21
#include <stdint.h>
22
 
23
#include "segtab.h"
24
 
25
void emit_op(struct seg*, struct op);
26
 
27
void emit_nop(struct seg *);
28
void emit_zero(struct seg *);
29
 
30
void emit_data(struct seg *, char *);
31
 
32
void emit_ldil(struct seg *, uint16_t, char *);
33
void emit_ldih(struct seg *, uint16_t, char *);
34
void emit_ldib(struct seg *, uint16_t, char *);
35
void emit_load(struct seg *, uint16_t, uint16_t);
36
void emit_store(struct seg *, uint16_t, uint16_t);
37
void emit_cmp(struct seg *, uint16_t, uint16_t);
38
void emit_addi(struct seg *, uint16_t, char*);
39
void emit_jmp(struct seg *, uint16_t);
40
void emit_brnz(struct seg *, char*);
41
 
42
 
43
#endif /* _EMIT_H_ */

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.