OpenCores
URL https://opencores.org/ocsvn/marca/marca/trunk

Subversion Repositories marca

[/] [marca/] [tags/] [INITIAL/] [vhdl/] [data_memory.vhd] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jeunes2
-- megafunction wizard: %RAM: 1-PORT%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: altsyncram 
5
 
6
-- ============================================================
7
-- File Name: data_memory.vhd
8
-- Megafunction Name(s):
9
--                      altsyncram
10
-- ============================================================
11
-- ************************************************************
12
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
13
--
14
-- 6.0 Build 202 06/20/2006 SP 1 SJ Web Edition
15
-- ************************************************************
16
 
17
 
18
--Copyright (C) 1991-2006 Altera Corporation
19
--Your use of Altera Corporation's design tools, logic functions 
20
--and other software and tools, and its AMPP partner logic 
21
--functions, and any output files any of the foregoing 
22
--(including device programming or simulation files), and any 
23
--associated documentation or information are expressly subject 
24
--to the terms and conditions of the Altera Program License 
25
--Subscription Agreement, Altera MegaCore Function License 
26
--Agreement, or other applicable license agreement, including, 
27
--without limitation, that your use is for the sole purpose of 
28
--programming logic devices manufactured by Altera and sold by 
29
--Altera or its authorized distributors.  Please refer to the 
30
--applicable agreement for further details.
31
 
32
 
33
LIBRARY ieee;
34
USE ieee.std_logic_1164.all;
35
 
36
LIBRARY altera_mf;
37
USE altera_mf.all;
38
 
39
ENTITY data_memory IS
40
        PORT
41
        (
42
                address         : IN STD_LOGIC_VECTOR (11 DOWNTO 0);
43
                clken           : IN STD_LOGIC ;
44
                clock           : IN STD_LOGIC ;
45
                data            : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
46
                wren            : IN STD_LOGIC ;
47
                q               : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
48
        );
49
END data_memory;
50
 
51
 
52
ARCHITECTURE SYN OF data_memory IS
53
 
54
        SIGNAL sub_wire0        : STD_LOGIC_VECTOR (7 DOWNTO 0);
55
 
56
 
57
 
58
        COMPONENT altsyncram
59
        GENERIC (
60
                address_aclr_a          : STRING;
61
                indata_aclr_a           : STRING;
62
                intended_device_family          : STRING;
63
                lpm_hint                : STRING;
64
                lpm_type                : STRING;
65
                numwords_a              : NATURAL;
66
                operation_mode          : STRING;
67
                outdata_aclr_a          : STRING;
68
                outdata_reg_a           : STRING;
69
                power_up_uninitialized          : STRING;
70
                widthad_a               : NATURAL;
71
                width_a         : NATURAL;
72
                width_byteena_a         : NATURAL;
73
                wrcontrol_aclr_a                : STRING
74
        );
75
        PORT (
76
                        clocken0        : IN STD_LOGIC ;
77
                        wren_a  : IN STD_LOGIC ;
78
                        clock0  : IN STD_LOGIC ;
79
                        address_a       : IN STD_LOGIC_VECTOR (11 DOWNTO 0);
80
                        q_a     : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
81
                        data_a  : IN STD_LOGIC_VECTOR (7 DOWNTO 0)
82
        );
83
        END COMPONENT;
84
 
85
BEGIN
86
        q    <= sub_wire0(7 DOWNTO 0);
87
 
88
        altsyncram_component : altsyncram
89
        GENERIC MAP (
90
                address_aclr_a => "NONE",
91
                indata_aclr_a => "NONE",
92
                intended_device_family => "Cyclone",
93
                lpm_hint => "ENABLE_RUNTIME_MOD=NO",
94
                lpm_type => "altsyncram",
95
                numwords_a => 4096,
96
                operation_mode => "SINGLE_PORT",
97
                outdata_aclr_a => "NONE",
98
                outdata_reg_a => "UNREGISTERED",
99
                power_up_uninitialized => "FALSE",
100
                widthad_a => 12,
101
                width_a => 8,
102
                width_byteena_a => 1,
103
                wrcontrol_aclr_a => "NONE"
104
        )
105
        PORT MAP (
106
                clocken0 => clken,
107
                wren_a => wren,
108
                clock0 => clock,
109
                address_a => address,
110
                data_a => data,
111
                q_a => sub_wire0
112
        );
113
 
114
 
115
 
116
END SYN;
117
 
118
-- ============================================================
119
-- CNX file retrieval info
120
-- ============================================================
121
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
122
-- Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
123
-- Retrieval info: PRIVATE: AclrByte NUMERIC "0"
124
-- Retrieval info: PRIVATE: AclrData NUMERIC "0"
125
-- Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
126
-- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
127
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
128
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
129
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "1"
130
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
131
-- Retrieval info: PRIVATE: Clken NUMERIC "1"
132
-- Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1"
133
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
134
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
135
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
136
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
137
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
138
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
139
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
140
-- Retrieval info: PRIVATE: MIFfilename STRING ""
141
-- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "4096"
142
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
143
-- Retrieval info: PRIVATE: RegAddr NUMERIC "1"
144
-- Retrieval info: PRIVATE: RegData NUMERIC "1"
145
-- Retrieval info: PRIVATE: RegOutput NUMERIC "0"
146
-- Retrieval info: PRIVATE: SingleClock NUMERIC "1"
147
-- Retrieval info: PRIVATE: UseDQRAM NUMERIC "1"
148
-- Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0"
149
-- Retrieval info: PRIVATE: WidthAddr NUMERIC "12"
150
-- Retrieval info: PRIVATE: WidthData NUMERIC "8"
151
-- Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE"
152
-- Retrieval info: CONSTANT: INDATA_ACLR_A STRING "NONE"
153
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
154
-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
155
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
156
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "4096"
157
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT"
158
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
159
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
160
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
161
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "12"
162
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
163
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
164
-- Retrieval info: CONSTANT: WRCONTROL_ACLR_A STRING "NONE"
165
-- Retrieval info: USED_PORT: address 0 0 12 0 INPUT NODEFVAL address[11..0]
166
-- Retrieval info: USED_PORT: clken 0 0 0 0 INPUT NODEFVAL clken
167
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
168
-- Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL data[7..0]
169
-- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0]
170
-- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL wren
171
-- Retrieval info: CONNECT: @address_a 0 0 12 0 address 0 0 12 0
172
-- Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0
173
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
174
-- Retrieval info: CONNECT: @clocken0 0 0 0 0 clken 0 0 0 0
175
-- Retrieval info: CONNECT: @data_a 0 0 8 0 data 0 0 8 0
176
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
177
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
178
-- Retrieval info: GEN_FILE: TYPE_NORMAL data_memory.vhd TRUE
179
-- Retrieval info: GEN_FILE: TYPE_NORMAL data_memory.inc FALSE
180
-- Retrieval info: GEN_FILE: TYPE_NORMAL data_memory.cmp FALSE
181
-- Retrieval info: GEN_FILE: TYPE_NORMAL data_memory.bsf TRUE FALSE
182
-- Retrieval info: GEN_FILE: TYPE_NORMAL data_memory_inst.vhd FALSE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.